FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits

Using expansion header

Altera_Forum
Honored Contributor II
1,051 Views

I have a DE2 board and I want to use the 5-Volt power pin in the expansion header to power one of my peripherals. Do I have to set the voltage of this pin explicitly in software or does it always supply voltage when the board is powered on?? 

 

Chase
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
314 Views

You don't need to set anything. Actually, there isn't anything to set. The power pins are just that: pins connected to the power net.

0 Kudos
Altera_Forum
Honored Contributor II
314 Views

Thats what I had hoped. Thank you

0 Kudos
Altera_Forum
Honored Contributor II
314 Views

Hi.. 

The DE2 Board provides two 40-pin expansion headers. Each header connects directly to 36 pins on the Cyclone II FPGA, and also provides DC +5V (VCC5), DC +3.3V (VCC33), and two GND pins.I am using this..It works good..
0 Kudos
Altera_Forum
Honored Contributor II
314 Views

Hello friend, I need urgently to understand how use the expansion header (GPIO) to obtain data from a sensor that I'm trying to integrate the DE2 board. A sensor wire is connected to GND and the other to pin 0 of JP1. How do I get the pin as concerned with the C language using the NIOS II? Please help me! A hug. I await answers.

0 Kudos
Reply