FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5915 Discussions

When I'm trying to simulate my nios ii system using modelsim it shows this error. what should I do to overcome this?

dkaws
Novice
629 Views

Failed Executing: make sim SPD=/home/legup/Documents/Day14/system_tb.spd ELF=/home/legup/Documents/Day14/software/build3/build3.elf ENABLE_VSIM_GUI=1 VSIM_DIR=/home/legup/altera/11.1/modelsim_ase/linuxaloem return code: 2

 

I'm using quartus 12.1 and modelsim 11.1 in a ubuntu system

0 Kudos
1 Reply
AnandRaj_S_Intel
Employee
340 Views

Hi Damith,

 

Refer below link which will help on the Simulating Nios II Processor Design.

https://www.youtube.com/watch?v=Jw3rr76QEIc

https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/an/an351.pdf?language=en_US

https://www.intel.com/content/www/us/en/programmable/support/support-resources/design-examples/intellectual-property/embedded/nios-ii/exm-simulating-niosii.html

 

Let me know if this has helped resolve the issue you are facing or if you need any further assistance.

 

Regards

Anand

0 Kudos
Reply