FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5892 Discussions

about test bench code in quartus2 tool

jmoha2
Beginner
721 Views

 sel <= "000";

 wait for 100 ns;

 sel <= "001";

 wait for 100 ns;

 

 

this results the below mwntioned error. please let me know how to solve this error

Error (10533): VHDL Wait Statement error at Tb_alu_1.vhd(44): Wait Statement must contain condition clause with UNTIL keyword

 

0 Kudos
2 Replies
Vicky1
Employee
261 Views
Hi Jayakrushna, It is difficult to support without full code so my request is, if possible please provide full test bench code. Regards, Vikas
0 Kudos
Vicky1
Employee
261 Views
Hi Jayakrushna, Have you resolved the issue. Regards, Vikas
0 Kudos
Reply