FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits

wm8731 noise problem

Altera_Forum
Honored Contributor II
1,141 Views

Im trying to use the audio codec on the de2 board. I've written a verilog code to get the samples from the ADC and send it back to DAC. it's working well. I have designed a board which includes 4 audio codecs and downloaded the same program on my own board. I get a lot of noise. WM8731 is working properly cause I get all the lrck and bclk signals properly and the analogue part is ok too!!! could anyone help me figure the problem?

0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
313 Views

Hi, 

 

would you like to post your I2C-configurations for the WM8731 CoDec?
0 Kudos
Altera_Forum
Honored Contributor II
313 Views

Hi, 

 

if the problem still exists, it would be interesting to know: 

Did you connect the I²C signals from FPGA to WM8731 correctly and what do you transmit over I²C to configure the WM8731. Or do you use it without configuring it (= default values)? 

 

Greetings
0 Kudos
Altera_Forum
Honored Contributor II
313 Views

Hi, 

yes the chip is programmed properly. I have checked all of its pins on the oscilloscope, they generate the right waveforms. but the data is compeltely noisy!!!! :(
0 Kudos
Altera_Forum
Honored Contributor II
313 Views

Hi, 

that's a really strange problem. 

What you could try is to pass the audio data through the WM8731 (directly) and check if it is noisy. If not, you can be sure that your analog path is okay, so the problem must be in WM8731 or its configuration or FPGA. 

You said, your code was working on eval board, so I am wondering what's the real problem... 

 

Good luck.
0 Kudos
Reply