Design Example – Arria 10 DDR3 SDRAM 1067MHz Quarter Rate x40

cancel
Showing results for 
Search instead for 
Did you mean: 
363 Discussions

Design Example – Arria 10 DDR3 SDRAM 1067MHz Quarter Rate x40

Design Example – Arria 10 DDR3 SDRAM 1067MHz Quarter Rate x40



 Last Major Update

Initial Release – August 2015 – Arria 10 DDR3 SDRAM 1067MHz Quarter Rate x40, Quartus II v15.0, DDR3 SDRAM Controller, External Memory Interface Toolkit.


Design Overview

This design is meant as a demo style lab. It very briefly covers the steps required to design a 40-bit wide, 1067-MHz DDR3 SDRAM interface working with a Arria 10 FPGA using DDR3 SDRAM components with External Memory Interface Toolkit. The purpose of the lab is for the reader to get a basic feel for what steps are involved in getting an external memory working with an Altera FPGA and the Arria 10 External Memory Interface IP. The lab will not cover any of the steps in detail but simply show an overview of the design process.

The Arria 10 External Memory Interface IP also generates an example top level file, an example traffic generator, and a test bench including an external memory model. All these will be used to demonstrate the DDR3 SDRAM functionality.

Design Specifications

The table below lists the specifications for this design:

AttributeSpecification
Quartus versionQuartusII v15.0
FPGA10AX115S2F45I1SGE2
KitDevelopement Kit
Memory deviceDDR3 SDRAM (Micron MT41K512M16TNA)
Memory speed1067MHz
Memory topologyx40-bit, 4 DDR3 SDRAM components 
IP usedDDR3 SDRAM Arria 10 External Memory Interface IP and generated example top Quartus project

 

Lab Steps

The lab uses Quartus II v15.0 and has Modelsim set up for simulation. The lab assumes the reader is a competent user of these tools and many of their features.

Three files have been pre-design for this lab to save time:

1. A pin location assignments TCL script ( A10_pin_assignment.tcl )

2. A custom Component Definition File of Example Design In-System Source and Probe ( ed_iss_hw.tcl )

3. A custom RTL wrapper for Example Design In-System Source and Probe ( ed_iss.v )

Create a new folder for the project and place the files in it.

Final project using I1 speed grade with ES2 device are available in the arria10_devkit_design for reference. 

Final project using I2 speed grade with ES2 device are available in the arria10_I2_devkit_design for sreference. 


Design Generation

1. In the Quartus II software, create a Quartus II project using the New Project Wizard available from the File menu. For this lab, use following information to setup the project accordingly: 

  • Working directory : <your project folder>
  • Project name : ddr3
  • Device name : 10AX115S2F45I1SGE2
  • Leave other settings to default

2. Launch the IP Catalog from the Tools menu

3. Double click Arria 10 External Memory Interfaces IP from the Memory Interfaces and Controllers folder in the Library list. IP Parameter Editor will be launch.

4. In New IP Variation window, specify the Entity name and click OK. For this lab, use following information to setup the IP variation accordingly. 

  • Entity name : ddr3
  • Leave other settings to default

5. In Presets window, select the preset matching or closest to the target memory device. 6. Specify the parameters on all tabs. The value should be based on the target memory device, FPGA device and board being used. For this lab, use following information to configure the remaining IP parameter that should be different than the default value or the preset. 

  • General tab
  • Clocks 
  • Uncheck the Use recommended PLL refeerence clock frequency checkbox
  • Select 133.333MHz for the PLL reference clock frequency
  • I/O tab (Uncheck the Use default I/O settings checkbox) 
  • PHY Inputs 
  • PLL reference clock I/O standard = LVDS (Based on Arria 10 10AX115 Pin-out file)
  • Memory Topology tab 
  • Topology 
  • DQ width = 40
  • Mode Register Settings 
  • ODT Rtt nominal value = RZQ/6
  • Memory Timing tab 
  • tRRD = 7 cycles 
  • tFAW = 35.0 ns 
  • Board Timing tab (Based on internal board information) 
  • Slew Rates 
  • Use default slew rates = Checked
  • Intersymbol Interference/Crosstalk 
  • Board and Package Skews 
  • Package deskewed with board layout (DQS group) = Unchecked
  • Maximum board skew within DQS group = 0.02 ns
  • Package deskewed with board layout (address/command bus) = Checked
  • Maximum system skew within address/command bus = 0.02 ns
  • Average delay difference between DQS and CK = 0.02 ns
  • Maximum delay difference between DIMMs/devices = 0.05 ns
  • Maximum skew between DQS groups = 0.02 ns
  • Average delay difference between address/command and CK = 0.0 ns
  • Maximum CK delay to DIMM/device = 0.6 ns
  • Maximum DQS delay to DIMM/device = 0.6 ns
  • Diagnostics tab 
  • Calibration Debug Options 
  • Quartus II EMIF Debug Toolkit/On-chip Debug Port = Add EMIF Debug Interface 
  • Enabled Daisy-Chaining for Quartus II EMIF Debug Toolkit/On-Chip Debug Port = Unchecked 

Important Note : Review any warning messages displayed in the Messages Window and correct any errors before making further changes

Important Note : Instead of leaving it to default, ensure the parameters in Board Timing tab are configured correctly based on the actual target board as the value are vary from board to board. Use HyperLynx or similar simulator to obtain values of the actual target board.

Important Note : Take note on the info messages regarding which address/command pin placement scheme that need to follow based on the final IP setting. This info will be needed during pin assignment in the later stage.

Note : For Board and Package Skews, use Board Skew Parameter Tool available in Altera web to compute the value

Note : For detailed explanation of the parameters, refer to Parameterizing Memory Controllers with Arria 10 External Memory Interface IP chapter of the External Memory Interface Handbook.

7. Click Example Design button at the top-right corner of the Parameter window, confirm the default path for the example design, and click OK.

8. Once the generation completed, click Close.

9. Click Finish. The configuration is saved as ddr3.qsys which located inside <your project folder> directory.

10. Since this lab will only use the example design files, click No when prompted to generate your IP.

11. In Integration with the Quartus II Software window, click Close.

12. Click Yes when prompted to add the Quartus II IP File to the project.

13. In terminal, change directory to <your project folder>/emif_0_example_design folder and run following commands in sequence: 

i. $ quartus_sh -t make_qii_design.tcl

ii. $ quartus_sh -t make_sim_design.tc

Note :Review the readme.txt file generated under <your project folder>/emif_0_example_desig/qii/altera_emif_arch_nf_141/synth folder. The file contains high-level overview, recommendation and requirements of the IP based on the selected configuration.

 

Adding Components Into Existing Example Design (Optional)

1. Place the ed_iss.v and ed_iss_hw.tcl inside the < your project folder >/emif_0_example_design folder.

2. Launch the Qsys from the Tools menu.

3. In Open dialog box, navigate into < your project folder >/emif_0_example_design folder, select the ed_synth.qsys file and click Open.

4. Once the process of opening the system has been completed, click Close. An example design system containing the Arria 10 External memory Interface IP, EMIF example Avalon Traffic Generator and other miscellaneous blocks will appear in the System Contents window.

5. Since in this example we going to use the our own hw.tcl component, we need to add the path to the hw.tcl file so Qsys know where to find it. To do that click Tools > Option. Then, click the Add button and browse to the project folder where you place the ed_iss_hw.tcl before you click Finish.

6. In IP Catalog window, double click Example Design In-System Sources and Probes in the Library list to add the component into the system.

7. In Example Design In-System Sources and Probes GUI, click Finish. You can see in System Contents window a new component called ed_iss_0 is added.

8. Un-export the following signal by double click their corresponding export signal name in the Export column and delete the name. 

  • Component name : global_reset_splitter, Interface name : sig_input_if, Export name : global_reset
  • Component name : emif_0_example_design , Interface name : status_conduit_end, Export name : emif_0_example_design_status
  • Component name : tg , Interface name : tg_status_conduit_end, Export name : emif_0_example_design_tg_0

9. Make the following connection from ed_iss_0 interfaces 

  • reset_source to sig_input_if of global_reset_splitter
  • emif_status to status_conduit_end of emif_0_example_design
  • tg_status to tg_status_conduit_end of tg

10. Click Finish to save the system into the existing ed_syth.qsys file.

11. Click No when prompted with Generate Now?.

12. Click Yes when prompted to add the Quartus II IP File to the project.

13. In terminal, change directory to < your project folder >/emif_0_example_design folder and run following commands in sequence: 

i. $ rm -rf qii

ii. $ quartus_sh -t make_qii_design.tcl

Note : Alternative of using this custom component to add ISS is to add following Quartus II assignment in ed_synth.qpf file. This will enable the existing ISS that has been instantiated inside the IP RTL. 

set_global_assignment -name VERILOG_MACRO "ALTERA_EMIF_ENABLE_ISSP=1“


 

Adding SignalTap file Into Existing Example Design (Optional)

1. In the Quartus II software, launch SignalTap II Logic Analyzer from Tools menu.

i. Under the Signal Configuration section, use the pll_ref_clk for the Clock

ii. Include the following signals into your SignalTap file. Double click on the Setup area and search for the signals in the Named section on the pop-up window.

  • emif_0_example_design|local_cal_fail
  • emif_0_example_design|local_cal_success
  • altera_emif_avl_tg_top:tg|traffic_gen_fail_0
  • altera_emif_avl_tg_top:tg|traffic_gen_pass_0
  • altera_emif_avl_tg_top:tg|traffic_gen_timeout_0

 

Design Constraint, Compilation And Analysis

1. In the Quartus II software, open the generated example design Quartus II project ( ed_synth.qpf) using the Open Project available from the File menu. The Quartus II project file should be under < your project folder >/emif_0_example_desig/qii folder.

2. Assign the location for all top level pins. Pin locations for external memory systems are not automatically created as it depend on the individual board layout and device package being used. For this lab, do the following steps: 

i. Place the a10_pin_assignment.tcl in < your project folder >/emif_0_example_desig/qii.

ii. Launch the Tcl Scripts from Tools menu.

iii. In the TCL Scripts window, select the 10a_pin_assignment.tcl script under the Project folder in the Libraries and click Run to run the script.

iv. Click OK when a window appeared indicating the script has been executed and click Close to close the TCL Scripts window .

v. Verify in Pin Planner or Assignment Editor available under the Assignments menu to ensure the pin locations has been assigned correctly

Note: Board designer should comply with the following pin-out guideline when designing the board. To ensure the correctness, cross-check the pin location assignment with the respective document too. 

  • Guidelines for Arria 10 External Memory Interface IP topic under Planning Pin and FPGA Resources chapter of the External Memory Interface Handbook.
  • Pin locations section in the readme.txt file generated under < your project folder >/emif_0_example_desig/qii/altera_emif_arch_nf_141/synth folder.
  • Arria 10 Device Pin-Out Files on Altera web. 
  • Arria 10 External Memory Interface Pin Information on Altera web. Use the address/command pin placement scheme information (as in noted in Design Generation stage) to determine which column should be referred to.

3. Run full compilation by clicking the Start Compilation under the Processing menu. The compilation may take around 10 minutes to complete depending on compilation PC.

4. Once the compilation complete, ensure that there are no timing violation. There are 2 areas that should be check as below: 

  • In Messages window, ensure that "Critical Warning (332148): Timing requirements not met" message has not being printed out.
  • In Compilation Report window, in emif_0_example_design table under each TimeQuest Timing Analyzer / < Operating condition > / Report DDR folder, ensure all number are positive. Note that this report only cover for those that are under Arria 10 External Memory Interface IP clock domain.

5. Review all the Critical Warnings and Warnings and determines if it is acceptable or need to be address.

 

Design Hardware Test (Optional)

1. In the Quartus II software, launch In-System Sources and Probes Editor from Tools menu.

2. In Jtag Chain Configuration window under In-System Sources and Probes Editor GUI, configure the Hardware and Device based on the targeted board. For File, browse for the ed_synth.sof file and click Open.

3. Click Program Device button to configure the FPGA.

4. Once the configuration is successful (Ready to acquire), launch SignalTap II Logic Analyzer from Tools menu.

5. Select the SignalTap instance and click the Autorun Analysis button next to Instance Manager label. The SignalTap II Logic Analyzer Pane will shows the acquired data from each signal in the Data tab. At this stage, all signal will be low as the global_reset_n signal is still asserted.

6. In In-System Sources and Probes Editor Pane, click the source[0] instance value under the Data column to change it from 0 to 1. This will de-assert the global_reset_n signal in the hardware.

7. Now observe the data for local_cal_success and traffic_gen_pass and traffice_gen_timeout instances change from 0 to 1 on the SignalTap which indicate the calibration is success and pass the example driver tests.

 

External Memory Interface Toolkit Test (Optional)

1. To understand the calibration value of the design you can use the External Memory Interface Toolkit from Tools>System Debugging Tools menu.

2. Double click on the Initialize Connection button on the Tasks Pane.

3. Then double click on the Link Project to Device button. A pop-up window will appear with the information related to the design. Click the OK button on the pop-up window.

4. Finally, double click on the Create Memory Interface Connection button. Another pop-up window will appear to identified the connections. Click the OK button on the pop-up window.

5. Once the connection is established, scroll down the Tasks pane and look for the Generate All Reports button. Double click on it and the toolkit will generate the calibration report and summary report.

6. The reports will be available under the Report


See Also

List of designs using Altera External Memory IP

External Links

  1. Altera's External Memory Interface Solutions Center 
  2. Altera's External Memory Interface Handbook
Attachments
Version history
Last update:
‎06-27-2019 05:09 PM
Updated by:
Contributors