Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.
1152 Discussions

License issue for simulation in Quartus

Monsoon_sun
Novice
7,834 Views

Dear Intel,

 

I understand there is some locked vs floating license problem for Quartus. Unfortunately I am unable to resolve it on my own.

 

Kindly set a zoom meeting or any remote access link in order to fix my problem.

 

Thank you

 

Regards,

Monsoon_sun

 

 

Labels (2)
0 Kudos
1 Solution
Monsoon_sun
Novice
7,589 Views

I got my license on this link: Intel® FPGA self-service licensing center

Thank you Larry and Prabhakar.

View solution in original post

0 Kudos
12 Replies
AR_A_Intel
Employee
7,823 Views

Hi

 

Welcome to Intel forum. For your information, we are working remotely from Penang, Malaysia and generally do not provide phone call/zoom meeting or any remote access link support in forum. However, if you have any question regarding licensing that need some explanation. Kindly list down your question in this forum and I will try my best to help you.  

For fast support in your local geo, please kindly contact your nearest Intel distributor. You could refer the contact for our sales and distributor from link below:

https://www.intel.com/content/www/us/en/partner/where-to-buy/overview.html

Appreciate much on your understanding.


0 Kudos
Monsoon_sun
Novice
7,816 Views

Hey

Thank you for replying. 

So my issue is that I am not able to simulate.

 

  • Upon running compilation the following errors pop up.

Error: Error: Can't launch Questa Intel FPGA Simulation software -- make sure the software is properly installed and the environment variable LM_LICENSE_FILE or MGLS_LICENSE_FILE points to the correct license file.
Error: Error: NativeLink simulation flow was NOT successful
Error (23031): Evaluation of Tcl script e:/intelfpga_lite/21.1/quartus/common/tcl/internal/nativelink/qnativesim.tcl unsuccessful
Error: Quartus Prime Shell was unsuccessful. 4 errors, 0 warnings
Error: Peak virtual memory: 633 megabytes
Error: Processing ended: Fri Nov 26 11:08:05 2021
Error: Elapsed time: 00:00:06
Error: Total CPU time (on all processors): 00:00:02
Error (293001): Quartus Prime Full Compilation was unsuccessful. 5 errors, 13 warnings

 

  • Upon running the functional simulation in university program VWF, the following error  is being displayed:

**** Running the ModelSim simulation ****

E:/intelFPGA_lite/21.1/questa_fse/win64/vsim -c -do counter.do

Unable to checkout a license. Vsim is closing.

** Error: Invalid license environment. Application closing.

 

Unable to checkout a license. Make sure your license file environment variable (e.g., LM_LICENSE_FILE)

is set correctly and then run 'lmutil lmdiag' to diagnose the problem.

 

Error.

 

  • Upon running lmutil lmdiag the following message is popping up:

 

lmutil - Copyright (c) 1989-2019 Flexera. All Rights Reserved.
FlexNet diagnostics on Fri 11/26/2021 11:22

-----------------------------------------------------
License file: C:\Program Files\Maple 2021\license\license.dat
-----------------------------------------------------
"Maple" v2022.0630, vendor: maplelmg, expiry: 08-nov-2021
uncounted nodelocked license, locked to ethernet address "a81e848a72de",
or ethernet address "1a4a8155abb5",
or Disk serial number "DISK_SERIAL_NUM=90cff0da" starts: 1-jan-1990, expires: 08-nov-2021
TS_OK: Checkout permitted when client is using terminal client

This license cannot be checked out because:
Feature has expired.
Feature: Maple
Expire date: 08-nov-2021
License path: C:\Program Files\Maple 2021\license\license.dat;E:\intelFPGA_lite\21.1\questa_fse\win64\*.lic;
FlexNet Licensing error:-10,32
-----------------------------------------------------

 

  • I figured there is some problem with the license and therefore tried to access the license self help center and got redirected to chat for associate partners of intel. Somebody called Twinkle in the chat raised a ticket for me regarding this and instructed me to follow up my query on this forum. So here I am.

Kindly help me solve this issue. I am attaching screenshots of the above mentioned errors for you reference.  

 

0 Kudos
AR_A_Intel
Employee
7,779 Views

Hi

 

Thanks for update and apologies for the difficulty happen. For further checking, could you provide

1) Screenshot of Quartus >>tools>>license setup

2) Machine OS information

3) License.dat file

 

And for privacy, you can reply/attach your file in private message


0 Kudos
Monsoon_sun
Novice
7,771 Views

Hi,

I do not know where to find the License.dat file. Please provide instructions as to where I can find it.

Also how do I send private message?

 

0 Kudos
Lawrence_L_Intel
Employee
7,743 Views

You need to obtain a license from this link: 

https://fpgasupport.intel.com/Licensing/license/index.html#

Register and add your computer MAC address. Request a Questasim starter edition license. authorization@intel.com will reply with an email.

Then you need to modify your LM_LICENSE_FILE license variable with the path to your license file.

 

Let us know if that works. Cheers, Larry 

Lawrence_L_Intel_0-1638309938477.png

 

 

 

0 Kudos
Monsoon_sun
Novice
7,732 Views

Hello,

 

As per your instruction I followed the following link:

https://fpgasupport.intel.com/Licensing/license/index.html# 

 

and ended up on a page that just displayed

  • finish point for registration,
  • a link for reading full agreement 
  • and a next button 

Upon clicking the next button, a message was displayed saying I would be sent an email for confirming registration.  It is close to 10 hours since them and I have not received any link in my email yet. 

Upon following the above link now I am being redirected to partner alliance page. I have attached the screenshot of this page for you reference. 

Kindly help me out here.

 

Thank you

 

 

 

0 Kudos
Lawrence_L_Intel
Employee
7,721 Views

Try this link https://licensing.intel.com/psg/s/

 

Then that should take you to evaluation license site

https://licensing.intel.com/psg/s/sales-signup-evaluationlicenses

 

There have been updates to the license service center. Let us know if that works. regards Larry

0 Kudos
Monsoon_sun
Novice
7,706 Views

Hi Larry,

I still haven't received any mail for the license. All my attempt end up at this window

Monsoon_sun_0-1638436705568.png

And the contact customer support link never woks. 

It's almost a week since I have been trying to obtain license for Questa. Can you not generate the link for license yourself and send me? it is free after all...

 

0 Kudos
Lawrence_L_Intel
Employee
7,685 Views

I'm an Intel employee and also teach at a local university and have had my students successfully download free Questasim licenses. send me an email with your 12 digit hex hostid (on Windows, command prompt: ipconfig /all and we'll get you the license. Sorry for the inconvenience.

Larry

lawrence.landis@intel.com

0 Kudos
Monsoon_sun
Novice
7,590 Views

I got my license on this link: Intel® FPGA self-service licensing center

Thank you Larry and Prabhakar.

0 Kudos
ifti
Beginner
6,669 Views

Hi, the link takes me to the Intel® Partner Alliance page. The page says Account Required. Clicking on the Complete Registration button does nothing.  Can you please help me to get a license?

0 Kudos
Lawrence_L_Intel
Employee
7,566 Views

Glad to hear... Happy simulating!

0 Kudos
Reply