Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.
1153 Discussions

My lic is not working. I also have questasim as well. I have tried combining and separate files. Neither works. It is for Quartus Prime. Please help the support center is slow and does not answer me.

NBRUM
Beginner
1,591 Views

The server is running and checked it on imtools.

 

Warning (292000): FLEXlm software error: No SERVER lines in license file. Feature:    quartus License path: D:\flexlm\1-PDLTRL_License.dat; FlexNet Licensing error:-13,128 For further information, refer to the FlexNet Licensing documentation, available at "www.flexerasoftware.com"..

Error (119013): Current license file does not support the 10M40DAF672C7G device. Go to the Self-Service Licensing Center on the Intel FPGA website to manage your licenses (https://mysupport.altera.com/AlteraLicensing/license/index.html). 

Error: Quartus Prime I/O Assignment Analysis was unsuccessful. 1 error, 1 warning

Error: Peak virtual memory: 4911 megabytes

Error: Processing ended: Thu Feb 28 10:28:47 2019

Error: Elapsed time: 00:00:01

Error: Total CPU time (on all processors): 00:00:01

Error (293001): Quartus Prime Full Compilation was unsuccessful. 3 errors, 260 warnings

 

0 Kudos
3 Replies
JOHI
New Contributor II
954 Views

Hello,

Have you tried the quartus license without the other ones ? This way you can see where your problem resides.

 

Combining files does not work, I think you need to use ; or : between the different file names if you want to combine license files.

 

Best Regards,

Johi.

0 Kudos
NBRUM
Beginner
954 Views

Mine is a floating lic file. If I comment out the 'USE ERVER' it brings up product

FIR Compiler, NCO compiler, FFT/IFFT.

 

 

If I do not comment it out it brings up nothing. also when I try to compile a max 10 device it does not work. It throws:

Error (119013): Current license file does not support the 10M40DAF672C7G device. Go to the Self-Service Licensing Center on the Intel FPGA website to manage your licenses (https://mysupport.altera.com/AlteraLicensing/license/index.html). Error: Quartus Prime I/O Assignment Analysis was unsuccessful. 1 error, 0 warnings Error: Peak virtual memory: 4944 megabytes Error: Processing ended: Mon Mar 04 10:02:47 2019 Error: Elapsed time: 00:00:02 Error: Total CPU time (on all processors): 00:00:01 Error (293001): Quartus Prime Full Compilation was unsuccessful. 3 errors, 259 warnings

 

0 Kudos
NBRUM
Beginner
954 Views

I finally got in contact with Altera and the lic is fixed.

0 Kudos
Reply