Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.
1143 Discussions

OpencCL compiler fails after installing license

anaza3
Novice
612 Views

I just bought a Quartus license and installed it. I am trying to use the Opencl compiler(I used to be able to use it with no problem on trial license). when I run the compiler I get this error: 

 

 

 

 

/tools/intelFPGA/18.0/hld/board/trasic/de5net/tests/swap_mem_malware$ aoc device/swap_mem.cl -o bin/swap_mem.aocx --board de5net_a7 --report --
profile
Warning: Command has been deprecated. Please use -board=<value> instead of --board <value>
Warning: Command has been deprecated. Please use -report instead of --report
Warning: Command has been deprecated. Please use -profile instead of --profile
aoc: Warning: no argument provided for the option -profile, will enable profiling for all kernels by default
aoc: Selected target board de5net_a7
aoc: Running OpenCL parser....
/tools/intelFPGA/18.0/hld/board/trasic/de5net/tests/swap_mem_malware/device/swap_mem.cl:14:34: warning: declaring kernel argument with no 'restrict' may lead to low kernel performance
                 __global float *A,
                                 ^
/tools/intelFPGA/18.0/hld/board/trasic/de5net/tests/swap_mem_malware/device/swap_mem.cl:15:18: warning: declaring kernel argument with no 'restrict' may lead to low kernel performance
                 __global int* trigger,
                               ^
2 warnings generated.
aoc: Optimizing and doing static analysis of code...

!===========================================================================
! The report below may be inaccurate. A more comprehensive           
! resource usage report can be found at swap_mem/reports/report.html    
!===========================================================================

+--------------------------------------------------------------------+
; Estimated Resource Usage Summary                                   ;
+----------------------------------------+---------------------------+
; Resource                               + Usage                     ;
+----------------------------------------+---------------------------+
; Logic utilization                      ;   20%                     ;
; ALUTs                                  ;   13%                     ;
; Dedicated logic registers              ;    9%                     ;
; Memory blocks                          ;   23%                     ;
; DSP blocks                             ;    1%                     ;
+----------------------------------------+---------------------------;
Compiling for FPGA. This process may take a long time, please be patient.
Error (138079): Current license file does not support partial reconfiguration. The Quartus Prime software automatically disabled partial reconfiguration support on all partitions.
Error: Quartus Prime Analysis & Synthesis was unsuccessful. 1 error, 0 warnings
Error (293001): Quartus Prime Full Compilation was unsuccessful. 3 errors, 0 warnings
Error: Flow compile (for project /tools/intelFPGA/18.0/hld/board/trasic/de5net/tests/swap_mem_malware/bin/swap_mem/top) was not successful
Error: ERROR: Error(s) found while running an executable. See report file(s) for error message(s). Message log indicates which executable was run last.
Error (23031): Evaluation of Tcl script /tools/intelFPGA/18.0/quartus/common/tcl/internal/qsh_flow.tcl unsuccessful
Error: Quartus Prime Shell was unsuccessful. 10 errors, 0 warnings
Error: Compiler Error, not able to generate hardware

 

 

 

 

Any idea what the problem is? 

this is the content of quartus_sh_compile.sh:

 

 

 

 

Info: *******************************************************************
Info: Running Quartus Prime Shell
    Info: Version 18.0.0 Build 614 04/24/2018 SJ Standard Edition
    Info: Copyright (C) 2018  Intel Corporation. All rights reserved.
    Info: Your use of Intel Corporation's design tools, logic functions 
    Info: and other software and tools, and its AMPP partner logic 
    Info: functions, and any output files from any of the foregoing 
    Info: (including device programming or simulation files), and any 
    Info: associated documentation or information are expressly subject 
    Info: to the terms and conditions of the Intel Program License 
    Info: Subscription Agreement, the Intel Quartus Prime License Agreement,
    Info: the Intel FPGA IP License Agreement, or other applicable license
    Info: agreement, including, without limitation, that your use is for
    Info: the sole purpose of programming logic devices manufactured by
    Info: Intel and sold by Intel or its authorized distributors.  Please
    Info: refer to the applicable agreement for further details.
    Info: Processing started: Wed Jul 29 22:48:07 2020
Info: Command: quartus_sh --flow compile top -c top
Info: Quartus(args): compile top -c top
Info: Using INI file /tools/intelFPGA/18.0/hld/board/trasic/de5net/tests/swap_mem_malware/bin/swap_mem/quartus.ini
Info: Project Name = /tools/intelFPGA/18.0/hld/board/trasic/de5net/tests/swap_mem_malware/bin/swap_mem/top
Info: Revision Name = top
Info: *******************************************************************
Info: Running Quartus Prime Shell
    Info: Version 18.0.0 Build 614 04/24/2018 SJ Standard Edition
    Info: Processing started: Wed Jul 29 22:48:34 2020
Info: Command: quartus_sh -t scripts/pre_flow.tcl compile top top
Info: Quartus(args): compile top top
Info: Using INI file /tools/intelFPGA/18.0/hld/board/trasic/de5net/tests/swap_mem_malware/bin/swap_mem/quartus.ini
Info: Deleting incremental_db to ensure imported partition is only used
Info: Checking for OpenCL SDK installation, environment should have INTELFPGAOCLSDKROOT defined
Info: INTELFPGAOCLSDKROOT=/tools/intelFPGA/18.0/hld
Info: Compiling CvP!
Info (23030): Evaluation of Tcl script scripts/pre_flow.tcl was successful
Info: Quartus Prime Shell was successful. 0 errors, 0 warnings
    Info: Peak virtual memory: 750 megabytes
    Info: Processing ended: Wed Jul 29 22:48:35 2020
    Info: Elapsed time: 00:00:01
    Info: Total CPU time (on all processors): 00:00:01
Info: *******************************************************************
Info: Running Quartus Prime Analysis & Synthesis
    Info: Version 18.0.0 Build 614 04/24/2018 SJ Standard Edition
    Info: Processing started: Wed Jul 29 22:48:38 2020
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off top -c top
Info: Using INI file /tools/intelFPGA/18.0/hld/board/trasic/de5net/tests/swap_mem_malware/bin/swap_mem/quartus.ini
Error (138079): Current license file does not support partial reconfiguration. The Quartus Prime software automatically disabled partial reconfiguration support on all partitions.
Error: Quartus Prime Analysis & Synthesis was unsuccessful. 1 error, 0 warnings
    Error: Peak virtual memory: 909 megabytes
    Error: Processing ended: Wed Jul 29 22:49:08 2020
    Error: Elapsed time: 00:00:30
    Error: Total CPU time (on all processors): 00:00:30
Error (293001): Quartus Prime Full Compilation was unsuccessful. 3 errors, 0 warnings
Error: Flow compile (for project /tools/intelFPGA/18.0/hld/board/trasic/de5net/tests/swap_mem_malware/bin/swap_mem/top) was not successful
Error: ERROR: Error(s) found while running an executable. See report file(s) for error message(s). Message log indicates which executable was run last.

Error (23031): Evaluation of Tcl script /tools/intelFPGA/18.0/quartus/common/tcl/internal/qsh_flow.tcl unsuccessful
Error: Quartus Prime Shell was unsuccessful. 10 errors, 0 warnings
    Error: Peak virtual memory: 804 megabytes
    Error: Processing ended: Wed Jul 29 22:49:09 2020
    Error: Elapsed time: 00:01:02
    Error: Total CPU time (on all processors): 00:01:01

 

 

 

this is how my license setup looks like: 

license.PNG

0 Kudos
1 Reply
AnilErinch_A_Intel
597 Views

Hi ,

Looks like your license file does not include the partial reconfiguration feature.

Please let us know whether you are intending to use the partial reconfiguration feature if not please see the link below for a related thread to a similar issue.

https://community.intel.com/t5/Intel-FPGA-Software-Installation/What-are-the-Partial-Reconfiguration-License-requirements-for/td-p/719340

Thanks and Regards

Anil



0 Kudos
Reply