Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.
1155 Discussions

in 20.1 synthesis embedded pragma support for vhdl --synthesis library xxx appears to have been removed or is it part of the licensed versions now ?

CStra20
Beginner
813 Views

free edition targeting cyclone 10 GX in 20.1 the embedded pragma --synthesis library xxx is not working.

0 Kudos
2 Replies
SyafieqS
Moderator
789 Views

Hi Chris,

 

What do you mean by not working? Is there any possible error message(s) and snapshots you could provide?

Refer to link below for VHDL Synthesis Attributes and Directives

https://www.intel.com/content/www/us/en/programmable/quartushelp/13.0/mergedProjects/hdl/vhdl/vhdl_file_dir.htm

 

Thanks,

Regards

0 Kudos
CStra20
Beginner
789 Views

See below the output. First line of bsv_pkg.vhd is the embedded pragma --synthesis library bsv

 

This pragma works in previous version of quartus.

 

Info: *******************************************************************

Info: Running Quartus Prime Synthesis

  Info: Version 20.1.0 Build 177 04/06/2020 SC Pro Edition

  Info: Copyright (C) 2020 Intel Corporation. All rights reserved.

  Info: Your use of Intel Corporation's design tools, logic functions

  Info: and other software and tools, and any partner logic

  Info: functions, and any output files from any of the foregoing

  Info: (including device programming or simulation files), and any

  Info: associated documentation or information are expressly subject

  Info: to the terms and conditions of the Intel Program License

  Info: Subscription Agreement, the Intel Quartus Prime License Agreement,

  Info: the Intel FPGA IP License Agreement, or other applicable license

  Info: agreement, including, without limitation, that your use is for

  Info: the sole purpose of programming logic devices manufactured by

  Info: Intel and sold by Intel or its authorized distributors. Please

  Info: refer to the applicable agreement for further details, at

  Info: https://fpgasoftware.intel.com/eula.

  Info: Processing started: Mon Jun 8 14:29:22 2020

  Info: System process ID: 217156

Info: Command: quartus_syn --64bit --analysis_and_elaboration --write_settings_files=off onewire

Info: Using INI file /home/chris/opencpi_clean/projects/core/hdl/primitives/ocpi/target-cyclone/quartus.ini

Info: qis_default_flow_script.tcl version: #2

Info: Initializing Synthesis...

Info: Project = "onewire"

Info: Revision = "onewire"

Info: Analyzing source files

Error (17816): VHDL error at bsv_pkg.vhd(1): synthesis directive "library" is not supported File: /home/chris/opencpi_clean/projects/core/hdl/primitives/lib/bsv/cyclone/bsv_pkg.vhd Line: 1

Error (13827): Ignored construct bsv at bsv_pkg.vhd(161) due to previous errors File: /home/chris/opencpi_clean/projects/core/hdl/primitives/lib/bsv/cyclone/bsv_pkg.vhd Line: 161

Error (17816): VHDL error at fixed_float_types_pkg.vhd(1): synthesis directive "library" is not supported File: /home/chris/opencpi_clean/projects/core/hdl/primitives/lib/fixed_float/cyclone/fixed_float_types_pkg.vhd Line: 1

Error (13827): Ignored construct fixed_float_types at fixed_float_types_pkg.vhd(35) due to previous errors File: /home/chris/opencpi_clean/projects/core/hdl/primitives/lib/fixed_float/cyclone/fixed_float_types_pkg.vhd Line: 35

0 Kudos
Reply