Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises
1174 Discussions

Altera DE2 Flash Difficulties

Altera_Forum
Honored Contributor II
908 Views

I've been assigned to write the Flash memory Read/Write portion of a cooperative project in my Embedded Programming II course. The deliverable is a simple Nios II SOPC which reads and writes to Flash memory a byte at a time using the Altera DE2 Board. 

 

My approach was to use the DE2_Media_Computer modified to include the University Program Flash IP core. I modified the Media computer in the SOPC Builder, added the proper changes to Verilog top entity in Quartus II, and made the suggested timing constraint modifications. Everything generates and compiles without errors. 

 

According to the University IP Core documentation for Flash Memory, writing an -1 to the erase register should completely erase the Flash Memory. My brand new DE2 Board still has the Default Demo installed in Flash. I wrote a short bit of C code to do just that. I compiled and loaded the code using the Monitor App. I then run the code, wait about 30secs and cycle power on the DE2. The Default Demo is still there! 

 

I've obviously overlooked or over simplified something. Is there anyone out there who might be kind enough to look at my project and point my in the right direction? 

 

Thanks, Al
0 Kudos
0 Replies
Reply