Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises

DE2 board VGA Dispaly

Altera_Forum
Honored Contributor II
6,277 Views

Hello All, 

 

I am trying to use the board's SRAM to store an image and dispaly it on the screen.  

I designed a project using QuartusII and was able to manage the 

VGA dispaly timing. I used a reference design from John Loomis Webpage. 

http://www.johnloomis.org/digitallab/vgalab/vgalab3/vgalab3.html which  

is very helpful and nice. However, I am having a problem in dowloading the 

raw image file to the SRAM. My question is:  

How can I get a typical 640 X 480 bitmap image to be stored in the SRAM?  

I tried to use Terasic ImgConv, but it doesn't work :(  

 

I appricate your help. 

 

Thanks
0 Kudos
42 Replies
Altera_Forum
Honored Contributor II
56 Views

Regarding access, I have not done this, but I would imagine you probably need to write a device driver or use a device driver (like maybe what is in my-first_hps-fpga).  

 

Which lcd are you using? The Ardunio ones typically use a spi interface, but maybe you are going Benheck style and connecting something you salvaged.
0 Kudos
Reply