Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises
1175 Discussions

Enabling UVM in Modelsim 2020.1

TRoa
New Contributor I
1,307 Views

I have downloaded the UVM library package from Accellera. I am using this modelsim on a windows PC. Now I want to setup the environment of Modelsim in such a way that no matter which project I run, this library should always be available. 

I understand that certain features of UVM will not work on this lite version, but I am just in the learning phase, so not much I am expecting from that.

How to approach this issue. I searched the internet, and to be honest, nothing is working for me. 

0 Kudos
2 Replies
ShengN_Intel
Employee
1,272 Views

Hi,


This previous forum post https://community.intel.com/t5/Intel-FPGA-Software-Installation/Modelsim-ASE-starter-not-directly-support-UVM/m-p/1288356 probably can help you out:

Unfortunately ModelSim does not have pre-compiled UVM features. You are probably thinking of Questa Sim.

And you'll need to compile the uvm_pkg as well. For example,

vlog -work work -sv -stats=none C:/work/ST/simulation/tb_captur_1.sv <uvm_pkg path>/uvm_pkg.sv +incdir+<UVM source path>/src


This is another related link https://blogs.sw.siemens.com/verificationhorizons/2011/03/08/using-the-uvm-10-release-with-questa/:

If you use an earlier Questa installation, like 6.6d or 10.0, then you must supply the +incdir, and you must compile the UVM.


Best Regards,

Sheng

p/s: If any answer from the community or Intel Support are helpful, please feel free to give best answer or rate 4/5 survey.


0 Kudos
ShengN_Intel
Employee
1,171 Views

Hi,


Do you have any further concern or update on this thread?


Best Regards,

Sheng

p/s: If any answer from the community or Intel Support are helpful, please feel free to give best answer or rate 4/5 survey.


0 Kudos
Reply