Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises
1175 Discussions

Error while using Simulation Waveform Editor

Vishvas
Novice
1,038 Views

I was trying to use the Simulation Waveform Editor but I get an error when I try to run simulation.

I have attached a screenshot of the error

Could you please help me out

Thank you

Quartus_Error.png

0 Kudos
1 Solution
SyafieqS
Moderator
1,027 Views

Hi Vishvas,


Remove the -novopt in your script and rerun the simulation.


Thanks,

Regards


View solution in original post

0 Kudos
2 Replies
SyafieqS
Moderator
1,028 Views

Hi Vishvas,


Remove the -novopt in your script and rerun the simulation.


Thanks,

Regards


0 Kudos
Vishvas
Novice
1,019 Views

Thank you that issue is resolved.

Now I'm facing a new problem. I get an error saying : 

Error (suppressible): (vsim-3601) Iteration limit 5000 reached at time 25 ns.

 

I am trying to simulate a simple RS Latch. My code is below and I have attached the screenshot of the error as well. I tried searching how to resolve this error. I read this can occur when there is an infinite loop, but I'm not sure how my code can end up in such a loop. Am I doing something wrong? I'm kinda new to Quartus as well

 

module part1(clk, R, S, Q);

	input clk, R, S;
	output Q;
	
	wire R_g, S_g, Qa, Qb /* synthesis keep */;
	
	and(R_g, R, clk);
	and(S_g, S, clk);
	nor(Qa, R_g, Qb);
	nor(Qb, S_g, Qa);
	
	assign Q = Qa;
	
endmodule

 

Quartus_Error.png

0 Kudos
Reply