Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises
1174 Discussions

Heellpppppppp SDRAM use..

Altera_Forum
Honored Contributor II
1,436 Views

Hi !! 

 

I need some help about SRAM/SDRAM memory, the thing I want to do is to read from any address on memory and send this data to led, and write data into memory from switchs(using DE1 board and VHDL), I dont want to use NIOS processor,  

 

Thanks for help...
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
763 Views

Actually I want to do the same. 

I asked and I got these answers: 

http://www.altera.com/literature/ug/...2_sdram_hp.pdf (http://www.altera.com/literature/ug/ug_ddr_ddr2_sdram_hp.pdf

 

www.altera.com/support/refdesigns/sys-sol/indust_mil/ref-adi-sdram.html 

 

I hope you can find something here.  

I am still searching
0 Kudos
Reply