Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises
1175 Discussions

Please help. Basic problem: Assigning pins

Altera_Forum
Honored Contributor II
1,084 Views

Hi, 

 

I'm trying to assign pins to my DE0. I want to use sw[0] for an input, which is supposedly Pin_J6. Only when I go on assignment editor and try to assign one of my inputs as Pin_J6, that is not one of the choices under "location" in pin planner. In fact it seems like I can't use any of the switches/buttons. I'm using Quartus 13.1. The design compiled okay and I programmed it on my board but now I want to assign the input. Please help. Thank you.
0 Kudos
7 Replies
Altera_Forum
Honored Contributor II
318 Views

I wonder if I need to import pin assignments or if that's something unrelated.

0 Kudos
Altera_Forum
Honored Contributor II
318 Views

Or perhaps it has to do with something called "fitter locations"

0 Kudos
Altera_Forum
Honored Contributor II
318 Views

Which are the choices under location in pin planner? 

If you can see other pin choices and only J15 is missing, this could mean it has been already assigned to another signal. 

Browse the location column and check.
0 Kudos
Altera_Forum
Honored Contributor II
318 Views

I have many choices but none of them are switches or buttons. There's IObanks, edges, vrefgroups and pins, none of the pins corresponds to a switch/button. Is it perhaps because I went under import assignments and picked a qsf file of some sort? 

 

Under pin assignments I do have one of the nodes (sw[0]) assigned pin_J6. I don't know if it should be that way or not and if not I don't know how to unassign it.
0 Kudos
Altera_Forum
Honored Contributor II
318 Views

I'm going to try assigning the switches a blank location. This seems to free the pins that correspond to the switches but I don't know whether this will work or whether I need to leave the switches unaltered.

0 Kudos
Altera_Forum
Honored Contributor II
318 Views

There is a DE1_pin_assignments.csv file on the CD under DE1_tutorials\design_files. Import this into the pin planner. It will define the pin locations of all the FPGA pins on the DE1. As long as you use the same names as in that file, you should be OK.

0 Kudos
Altera_Forum
Honored Contributor II
318 Views

It seems like the idea in my previous post worked. Thanks everyone.

0 Kudos
Reply