Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises
1174 Discussions

Questa Starter Edition licence generation

GR1
Beginner
1,094 Views

Hi,

We wish to generate a free licence for Questa starter edition, however it seems we have ran into some issues. We have downloaded through the sslc the licence for the Questa Starter Edition using the options set as shown in the picture.  We have setup the LM_LICENCE_FILE environment variable to point the licence's location, however we could not get Questa to work. 

 

We have looked at the licence file and we get something like below. It seems it generates the licence for Quartus instead of Questa Starter Edition ?

 

Thank you for your help,

 

 

# Intel Corporation Software and/or Intellectual Property License File
# Issued 01 February 2023
# Upgrade to these products will no longer be available after the Maintenance Expiration
# date unless licenses are renewed.
# Fixed Node License
# Primary Machine Name-Desktop-N5SJDPF
# Primary Machine ID-NIC ID 38-D5-47-19-1C-E1
# Companion ID-N/A
# Companion ID 2-N/A
# Product License Summary:
# Questasim*-Intel FPGA Starter Edition, 1 Seat(s)
# - Maintenance Expiration of 2024.02
# - License Expires 01-Feb-2024
# Questasim*-Intel FPGA Starter Edition, 1 Seat(s)
# - Maintenance Expiration of 2024.02
# - License Expires 01-Feb-2024
# Questasim*-Intel FPGA Starter Edition, 1 Seat(s)
# - Maintenance Expiration of 2024.02
# - License Expires 01-Feb-2024
# Quartus II Web Edition, 1 Seat(s)
# - Maintenance Expiration of 2024.02
# Questasim*-Intel FPGA Starter Edition, 1 Seat(s)
# - Maintenance Expiration of 2024.02
# - License Expires 01-Feb-2024
# Questasim*-Intel FPGA Starter Edition, 1 Seat(s)
# - Maintenance Expiration of 2024.02
# - License Expires 01-Feb-2024
################################################################################
# FEATURE START
# This is license file for ModelSim Web Edition
# Number of seat licenses is 1
# License Expires 01-Feb-2024
# FEATURE END
################################################################################
# FEATURE START
# The following is a license file for the Quartus II Web Edition
# Number of seat licenses is 1
# No license expiration date
FEATURE maxplus2 alterad 2024.02 permanent uncounted 4B1168DF2A45 \
HOSTID=38d547191ce1 SIGN="0D31 EEF9 41FB 86B3 E4EA 4D63 CC88 \
698E 39EE C485 B30D 5940 55D5 8686 444C 0A03 7828 D522 7F4A \
345C 077C 3AED 347C 37B8 1705 DECD 3BA2 0907 C451 ECAE"
FEATURE quartus_lite alterad 2024.02 permanent uncounted 31D11BCBA4F8 \
HOSTID=38d547191ce1 TS_OK SIGN="1DF9 0EE4 BA19 79FA B551 B32B \
00F6 C7A8 141E 83AC 7D8D 168E DC15 5FE7 6C42 15AB D4CC FD01 \
E778 D8B5 F32F 4EA0 7F31 DF3C 3B76 7B91 FE3C 8F8D 7774 C820"
# FEATURE END
################################################################################
# FEATURE START
# This is license file for Questasim*-Intel FPGA Starter Edition
# Number of seat licenses is 1
# License Expires 01-Feb-2024
# FEATURE END
################################################################################
# FEATURE START
# This is license file for Questasim*-Intel FPGA Starter Edition
# Number of seat licenses is 1
# License Expires 01-Feb-2024
# FEATURE END
################################################################################
# FEATURE START
# This is license file for Questasim*-Intel FPGA Starter Edition
# Number of seat licenses is 1
# License Expires 01-Feb-2024
# FEATURE END
################################################################################
# FEATURE START
# This is license file for Questasim*-Intel FPGA Starter Edition
# Number of seat licenses is 1
# License Expires 01-Feb-2024
# FEATURE END
################################################################################
# FEATURE START
# This is license file for Questasim*-Intel FPGA Starter Edition
# Number of seat licenses is 1
# License Expires 01-Feb-2024
# FEATURE END
################################################################################
# End of Intel Corporation Software and/or Intellectual Property License File. Issued 02/01/2023

0 Kudos
1 Solution
AR_A_Intel
Employee
1,013 Views

Hello,

Good day

 

Thank you for your patience. Apologize for the inconvenience happen, there are some technical issues due to upgrading proses. Now the license generator issue has been resolved. Login to https://licensing.intel.com/ to get your license file and let us know how it goes.


View solution in original post

0 Kudos
5 Replies
AR_A_Intel
Employee
1,068 Views

Hello,

Good day

 

Welcome to INTEL forum. Please give me some time to further check with our IT team regarding your issue. I’ll be back with an update.


0 Kudos
AR_A_Intel
Employee
1,014 Views

Hello,

Good day

 

Thank you for your patience. Apologize for the inconvenience happen, there are some technical issues due to upgrading proses. Now the license generator issue has been resolved. Login to https://licensing.intel.com/ to get your license file and let us know how it goes.


0 Kudos
GR1
Beginner
1,004 Views

Hi,

We have tried and it still generated only the licence for Quartus...see the attached screenshot... 

screenshot_licence_quest_2023-02-17.png

0 Kudos
AR_A_Intel
Employee
970 Views

Hi

 

Could you help to request only Questa Sim Starter Edition free license

Here the step

 https://licensing.intel.com/           

1) Go to Sign up for Evaluation or Free licenses

2) Select Questa*-Intel® FPGA Starter Edition SW-QUESTA

3) # of Seats is always 1

4) Check the T&C box

5) Click Get License button

The license will be sent to email that you register with

 

For more regarding Questa refer here

https://www.intel.com/content/www/us/en/software/programmable/quartus-prime/questa-edition.html


0 Kudos
AR_A_Intel
Employee
931 Views

We have not heard from you and I hope that my last note clears up this matter. I will now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.


0 Kudos
Reply