Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises
1175 Discussions

** Warning: (vsim-3473) Component instance "dut : counter" is not bound?

TarikTec
New Contributor I
1,811 Views

So I am following the steps to create a path to library in vhdl with modelsim, my questions are ;

1-why at page 49 of modelsim Tutorial I have written the path to where the counter.vhd is found is still not seen by modelsim:

 

vsim work.test_counter
# End time: 10:47:08 on Apr 16,2021, Elapsed time: 0:02:12
# Errors: 0, Warnings: 1
# vsim work.test_counter 
# Start time: 10:47:08 on Apr 16,2021
# Loading std.standard
# Loading work.test_counter(only)
# ** Warning: (vsim-3473) Component instance "dut : counter" is not bound.
#    Time: 0 ps  Iteration: 0  Instance: /test_counter File: C:/Users/Desktop/FPGA/Tasks/testbench/tcounter.vhd
# GetModuleFileName: The specified module could not be found.

 

and this my path in the modelsim.ini file:

 

[Library]
std = $MODEL_TECH/../std
ieee = $MODEL_TECH/../ieee
verilog = $MODEL_TECH/../verilog
vital2000 = $MODEL_TECH/../vital2000
std_developerskit = $MODEL_TECH/../std_developerskit
synopsys = $MODEL_TECH/../synopsys
modelsim_lib = $MODEL_TECH/../modelsim_lib
sv_std = $MODEL_TECH/../sv_std

; Altera Primitive libraries
;
; VHDL Section
;
part_lib = C:/Users/Desktop/FPGA/Tasks/resource_library/part_lib

 

 

2-is it the same procedure for modelSIm to find component modules that I need to reuse in my top_level design? or there is another procedure to make

 

2021-04-16 10_54_59-ModelSim® Tutorial - Foxit Reader.png

0 Kudos
2 Replies
RichardTanSY_Intel
1,745 Views

Sorry for the late reply. You may checkout the User Guide below on how to simulate your design. 

Quartus Standard: https://www.intel.com/content/www/us/en/programmable/documentation/aym1499789502823.html

Quartus Pro: https://www.intel.com/content/www/us/en/programmable/documentation/yur1496247032051.html

Let me know if it helps. 

 

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
RichardTanSY_Intel
1,713 Views

I have yet to receive any response from you to the previous question/reply/answer that I have provided but I believed that I have answered your question. 
With that, I will now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
Reply