Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises

electrical engineering

wise
Beginner
616 Views

 design and implement a counter.
The counter must be programmed to a pushbutton to count every time that specific
pushbutton is pushed. Specifically, if the pushbutton is held, the counter must not
increase. It must only increase by one when the pushbutton is released and pushed in
again.
There must be three separate counters implemented. Three different dipswitches must
select the specific counter and the FPGA must remember each count. A separate switch
must be used to reset the counter. Only one reset switch may be used for all three
counters.

0 Kudos
2 Replies
SyafieqS
Moderator
598 Views

Karabo,


Assuming you ask example design, you might need to refer to link below related to Implementing Counters


https://www.intel.com/content/www/us/en/programmable/quartushelp/13.0/mergedProjects/hdl/vlog/vlog_pro_counters.htm


0 Kudos
mistersinha
Beginner
589 Views

Nice

0 Kudos
Reply