Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises
1174 Discussions

problems with data passing in WM8731

Altera_Forum
Honored Contributor II
1,839 Views

Hye everyone .:) 

I have problems with passing the data from ADCDAT to my processing block. The mode set for the audio chip is left justified, 16 bit data width, 48Khz deemphesizes and 384 fs oversampling. I try to pull and accumulate the data from AUD_ADCDAT until 16 bit and feed them to both left and right channel by using Audiodeserializer(and audiodeserializer to change the bit into acceptable format by AUD_DACDAT). I try to configure the design based on http://dgn.teamovercrest.org/resources.php (it is a very excellent design) but instead with a much simpler where I just do it in the block diagram and then run the program without using NiosII and GUI(total hardware~just download them into DE2 board using programmer tool). The problem is that I just keep end up producing bypass sound(without any data processing). I dont know where else that i need to fix, Can anyone help me :(? 

Fantagiro_88
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
887 Views

btw the input is connected to line in(the input can be any source to produce soud beside guitar,ie a microphone.) and the output is connected through line out. anyone? got any idea? I've even do another design for audio serializer/deserializer and I even try using Universiti Ip core for audio video configuration. but still keeps producing bypass sound. please give me your opinion. I'm kind of stuck here. please.. I'm still new 

A lot of thanks for ur generous attention. 

Fanta
0 Kudos
Altera_Forum
Honored Contributor II
887 Views

Hi ,  

 

i have the same problem , i tried muting the MIC , but it still dosent work . Also i hear Clicking noise in one of my output channel , sometimes its left or right . 

 

Did you solved your problem ? i have emailed Wolfson Electronics several time they dont answer :-(
0 Kudos
Altera_Forum
Honored Contributor II
887 Views

yah.. i solve it. huhuuhu...(^^).. my guitar effect work just fine accordingly. yeah, working with that WM8731 is soooo .... by the way, what version of quartus do u use now? is it the latest version?

0 Kudos
Altera_Forum
Honored Contributor II
887 Views

Hi , i am using Quartus 10.0 , so how did you solved ??? 

These are the data values i am sending over I2C : 

 

constant R_Llinin_dat : std_logic_vector(8 downto 0):= "000011010";  

constant R_Lheadfon_out_dat: std_logic_vector(8 downto 0):= "001111001"; 

constant Analgaudio_dat : std_logic_vector(8 downto 0):= "000010010"; -- Muting Mic  

constant Digtlaudio_dat : std_logic_vector(8 downto 0):= "000000110"; 

constant PWR_dat : std_logic_vector(8 downto 0):= "000000000"; 

constant Digtlintf_dat : std_logic_vector(8 downto 0):= "000000001"; 

constant Samplng_dat : std_logic_vector(8 downto 0):= "000000010"; 

constant ActiveCtrl_dat : std_logic_vector(8 downto 0):= "000000001"; 

constant Rst_dat : std_logic_vector(8 downto 0):= "000000000"; 

 

 

Also I am using it in slave mode , with DACLRC/ADCLRC = 15.625kHZ 

BCLK = 500KHZ, AND XCK = 18.425MHZ. 

 

I am attaching screen shots for the I2C stream and DACDAT & ADCDAT when no audio input is present .  

 

Can you point out what to change , its soo frustrating that none of these manufacturer answer to my queries . 

 

Thanks in advance
0 Kudos
Altera_Forum
Honored Contributor II
887 Views

Quartus 10 is good. so u r using 2 wire mode program.. hmm, did u set csb to the ground? or is that PUSHB[1] represent the csb itself?  

Here is one thing, I dont use slave mode because in this mode , u r going to need to take care of the clock very well. I tried before, but, in the end I would prefer to choose master mode since i do not need to create clock divider to distribute the clock rate from AUD_XCK. One less problem there. I dont see any problems regarding about your I2C data. It seems good.  

 

I ll need to look again at my project, its been long(almost a year) because recently I am doing layout design. =="...  

 

by the way, check ur pin assignment carefully before you download it into the FPGA.
0 Kudos
Altera_Forum
Honored Contributor II
887 Views

CSB and Mode are tied to gnd on the schematic of DE2-115 , yes i am using it in slave mode . I imported the pin assignment from example DE2-115 projects . When i initiate reset the clicking sound goes silent . But when i push button [1] that is when configuration data over i2c is send to audio codec and clicking sound comes along with sound . I am genearting clockes from PLL so they are ok .

0 Kudos
Reply