Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

ALT_MULT_COMPLEX is not correct

tfm
Beginner
688 Views

Hi,

I was looking for a complex multiplication, and found in the Quartus  in IP Catalog, Library/Basic Functions/Arithmetric the ALT_MULT_COMPLEX.

I used it with a enable,  let it produce a VHD file..    during compile if showed errors,

there where END IF's missing. 

And looking further...   there are only 3 mulltiplies used in the code..

But of course a full complex multiplication need 4 multiplies.

So  the ALT_MULT_COMPLEX can not be used..

Do I need to pick an other IP ?

 

Best regards,

Theo Mulder 

0 Kudos
6 Replies
FvM
Valued Contributor III
670 Views
Quartus version, target device, port widths and other non-default instantiation parameters?
0 Kudos
tfm
Beginner
652 Views

Hi FvM,

 

Thanks for your reply..,

I already build my own complex multiplication, so i'm fine.

 

But to show the problem.., i attached the *.vhd file that was generated by the ALT_MULT_COMPLEX -IP.

at least one problem is:    the IF (ena = '1') THEN    do not have a closing END IF.

I used Quartus Prime Lite 22.1std.    And used a Cyclone V

 

Kind Regards,

Theo Mulder

0 Kudos
FvM
Valued Contributor III
637 Views

Hi Theo,
I can confirm that the generated VHDL code doesn't compile due to syntax errors, the Verilog code is however syntactically correct.

Regarding usage of 3 multipliers, I'm not sure, but I guess it may be an alternative algorithm to calculate complex multiply. I didnt check the results. Here's the RTL schematic, if anyone knows about the algorithm.

Regards
Frank

FvM_0-1675700401434.png

 

0 Kudos
FvM
Valued Contributor III
635 Views

Hello,

additional info, I stepped back to Quartus V13.1, the MegawizardManager generates generates functional VHDL code here. RTL structure is the same.

Regards
Frank

0 Kudos
Ash_R_Intel
Employee
597 Views

Hi,

Thanks for pointing the defect. I have raised this concern to the engineering team.


Regards


0 Kudos
Ash_R_Intel
Employee
560 Views

Hi,

The issue has been acknowledged by the engineering team and will be fixed in future version of Quartus software. There will be a Knowledge Article published for the issue, so that all users are made aware of. Meanwhile, we request you to continue using the Verilog model of the IP as a workaround.

As there are no further queries on this topic, I am closing the case.


Regards


0 Kudos
Reply