Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

ALTERA QUARTUS II

ASWATHYV_V_11
Beginner
745 Views

Hai,

 

** Error: C:/Users/user/Desktop/FPGA TESTING_INTEG NODE/INTEG NODE TOP MODULE 6JAN2021/INTEG_TOP_MODULE.vhd(234): Signal 'wrfull_sig' must have only one source since it is connected to buffer port 'wrfull_sig'.

 

 

 

I am doing code in VHDL.

I am using more than one VHDL file and added it to the main project folder.

I am using this wrfull_sig in 3 of the individual projects and used this signal in my main project.

I have assigned wrfull_sig as a buffer in all these 3 projects. Now, this showing this error.

Can you please find a way to resolve this problem

Please give a way to resolve this problem

 

 

 

 

0 Kudos
1 Solution
KhaiChein_Y_Intel
731 Views

Hi,


May I know what is the software edition (Pro/Standard/Lite) and version you are using? Kindly try to run the compilation using the latest version of the Intel Quartus Prime software. If the problem persists, you may share the design QAR file for investigation. To generate the design QAR file, click on Project > Archive Project > Archive.


Thanks

Best regards,

KhaiY


View solution in original post

0 Kudos
4 Replies
KhaiChein_Y_Intel
732 Views

Hi,


May I know what is the software edition (Pro/Standard/Lite) and version you are using? Kindly try to run the compilation using the latest version of the Intel Quartus Prime software. If the problem persists, you may share the design QAR file for investigation. To generate the design QAR file, click on Project > Archive Project > Archive.


Thanks

Best regards,

KhaiY


0 Kudos
ASWATHYV_V_11
Beginner
714 Views

HAI,

I am using QUARTUS PRIME  LITE EDITION-18.1 VERSION

0 Kudos
KhaiChein_Y_Intel
707 Views

Hi,


Could you share the design QAR for investigation?


Thanks

Best regards,

KhaiY


0 Kudos
KhaiChein_Y_Intel
696 Views

Hi,


We do not receive any response from you to the previous question/reply/answer that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you


Best regards,

KhaiY


0 Kudos
Reply