Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Adding "Altera_UP_SD_Card_Avalin_Interface"

Altera_Forum
Honored Contributor II
1,155 Views

Hy guys,  

I am working with SD card in my project. I did the searching and find http://www.alteraforum.com/forum/showthread.php?t=34495. My objection is NEARLY similar as the post. Which is adding/editing .txt files inside the SD card. 

 

I downloaded the University Program IP cores from : http://www.altera.com/education/univ/software/upds/unv-upds.html (FYI, I am using Quartus 10.0). 

Then I added only the "altera_up_sd_card_avalon_interface.vhd" from the hdl folder since if I added all of the .vhd files, I will get the family device error (adding_ip_error attached picture*). Here is my first question, do I need to add all of the .vhd files or only altera_up_sd_card_avalon_interface.vhd is enough? 

 

When I added "altera_up_sd_card_avalon_interface.vhd" only, the compiler give me an error. (compiling_error attached picture*). Here is my second question, is there anyway to fixed this problem?  

 

I dont know if it's allowed for me to attached the entire altera_up_sd_card_avalon_interface folder to this forum.(sd_cores_files attached pictures*) 

 

Thank you in advance! 

 

Best regards, 

Yuyex ;)
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
412 Views

I think I have get the answer about adding one or all of the .vhd files. But after I added the University Program files, I cant added the SD Card Interface to my SOPC system. FYI, I am using DE2 proxy board, while the Family setting of the SD Card Interface IP is for Stratix II family, Is there anyone who can help me through this? 

 

I download the bundle from : http://www.altera.com/education/univ/software/upds/unv-upds.html 

 

I have contacted the support, but I still want to ask you guys if you also got this problem and solve it my yourself , maybe. 

 

Thank you in advance!!
0 Kudos
Altera_Forum
Honored Contributor II
412 Views

problem solved : www.alteraforum.com/forum/showthread.php?t=27113 

 

Thank you
0 Kudos
Reply