Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Assignment pins on FPGA

Altera_Forum
Honored Contributor II
1,309 Views

Hey  

 

I want to do the assignment pin to StratixII and I want to know what is the fastest and the best way to do it? 

I know that there is a way to write VHDL file with attributes, is someone know what is the format to write? and if there is format for Verilog file? 

 

Thanks, 

 

Shishko
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
614 Views

You can use the altera_attribute to make these assignments in the code. Search on altera_attribute in the first book of the Quartus II handbook: 

http://www.altera.com/literature/hb/qts/qts_qii5v1.pdf 

(e.g. page 8-71) 

 

I really don't recommend doing this. Pin assignments are not relevant to the HDL(what if you move to a different part, for example), and would recommend doing it in the Pin Planner(which will put the assignments in the .qsf). There is a lot more information you have available when doing it this way, rather than manually adding it to the code with no feedback process.
0 Kudos
Altera_Forum
Honored Contributor II
614 Views

i don't believe altera_attribute can be used for pin assignments. You can use the chip_pin assignment as described in quartus help. 

 

--dalon
0 Kudos
Reply