Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16595 Discussions

Can Quartus Prime 16 detect changes in sources to show the build is out of date?

GSzak1
Beginner
951 Views

I'm working with a project in Quartus Prime 16.0 that inherited from a previous designer. When I edit source files or update IP, Quartus doesn't seem to recognize the changes. If I double-click "Compile Design" to start a new build, I get a message "Compile Design has already run successfully. Do you want to run the task again?" I would expect this message to come only if the build were more recent than the most recent source changes. Is there a setting somewhere that controls this behavior?

0 Kudos
10 Replies
Vicky1
Employee
737 Views

Hi,

May I know, which Quartus edition(Lite/Pro/Std) are you using?

why don`t do you use latest Quartus version(Lite edition is free) where most of the issues have been already fixed?

You can check different available settings under 'Assignments' -> ''settings Menu.

Regards,

Vicky

 

0 Kudos
GSzak1
Beginner
737 Views

Hi Vicky,

I'm using Quartus Prime 16.0 Lite edition, but the project was originally created with the Standard edition. I'm reluctant to update the software due to the large amount of IP, including 3rd party IP in the project. I will certainly move to a more current version to start any new projects.

I looked in the settings menu, but nothing jumps out at me as being for this purpose. Any clue what setting might affect it? Perhaps "Use smart compilation?"

Thanks & Regards,

Gabor

0 Kudos
Vicky1
Employee
737 Views

Hi Gabor,

It is recommended that, whenever you want to use project which is created in different edition & version need to clear database associated with previous edition & version using 'Project'->'Clean Project' Menu option & then if project consists of multiple IPs or Qsys components need to regenerate before recompiling process. In this case if few IP requires license, you need to purchase license for that particular IP. You can verify with Quartus Lite 18.1.

Regards,

Vicky

0 Kudos
Vicky1
Employee
737 Views

Hi,

Have you resolved the issue?

Should I consider that case to be closed?

Regards,

Vicky

 

0 Kudos
GSzak1
Beginner
737 Views

Hi Vicky,

I tried "Clean Project" but I still have the same results. It looks like I can run Compile Design once after opening Quartus, but any subsequent run will give me the same message, whether I have changed source files or not.

 

Regards,

Gabor

0 Kudos
sstrell
Honored Contributor III
737 Views

Besides the message you mention, what is your indication that changes you've made to the design are not being implemented? Have you tried programming a device with the updated design?

 

Moving a project from Standard to Lite is a tricky prospect if devices, IP, or features only supported in Standard were used in the design.

 

If it's really not working, even after cleaning the project (or manually deleting the db and incremental_db directories), you could try creating a new project and bringing the source code and assignments into it.

 

#iwork4intel

0 Kudos
GSzak1
Beginner
737 Views

Hello Sstrell,

 

The design changes are being implemented. I can see them in the behavior of the FPGA when I load a new design. This isn't a bug in compiling. It's just an annoyance that the Quartus GUI doesn't seem to track changes and mark the design out of date. I would expect to get the message I'm seeing only if the design hasn't changed since the last time it was successfully compiled. What I actually see (Again this is in Quartus 16.0) is that whether or not I made a change, Quartus will compile the design again without the warning message if I have closed and re-opened the project. It will always give the warning, whether or not I make design changes, after running compile design once in the current session.

 

Regards,

Gabor

0 Kudos
Vicky1
Employee
737 Views

Hi Gabor,

I would like to suggest you that, please download & install latest(most of the issue fixed) Quartus 18.1 Standard/Lite & then as suggested in previous post create new fresh same project & try to verify that issue at your end, since your design consists of third party IPs, we can not replicate it at our end.

Regards,

Vicky

 

 

0 Kudos
Vicky1
Employee
737 Views

Hi,

May I know any update or should I consider that case to be closed?

Regards,

Vicky

 

0 Kudos
GSzak1
Beginner
737 Views

Hi Vicky,

 

I have updated to Quartus Prime Lite 18.1 with service patch 1. This seems to be the latest release for Windows. I am able to build the project after updating all IP, and it looks like the QoR has improved from 16.0. However the behavior of "Compile Design" is the same. It seems that Quartus is either not keeping track of changes to source files, or it doesn't use this information to decide whether to pop up the "already run successfully" dialog. I have not tried creating a new project from scratch. At the moment this is just a minor annoyance because I can always answer "Yes" to the dialog and get a clean build.

 

Regards,

Gabor

0 Kudos
Reply