Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

Cannot proceed because MSVCR120.dll was not found

MuralikumarM
Beginner
6,977 Views
Hi,
Recently I have installed intel quartus prime pro and using it. I have tried to run modelsim, and it shows this error.

" The code execution cannot proceed because MSVCR120.dll was not found. Reinstalling the program may fix this problem."

I am unable to run it because of this error. I have searched about the error and found a answer that , install MS VC++ and I have installed 2013 version of that also..

Kindly help me to run this..

Thanks and Regards
MuralikumarM
0 Kudos
1 Solution
RichardTanSY_Intel
6,813 Views

Hi @MuralikumarM 

 

Please check that the LM_LICENSE_FILE is directed to a license file. Modelsim Intel Edition required a license.  

How to set LM_LICENSE_FILE:

In the command prompt:
setx LM_LICENSE_FILE <license file path>

example: C:\intelFPGA_pro\21.1\license.dat

 

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

View solution in original post

0 Kudos
10 Replies
RichardTanSY_Intel
6,936 Views

Hi @MuralikumarM 

 

You may checkout the link below for the solution.

https://www.repairtofix.com/Download_MSVCR120.dll/MSVCR120.dll_not_found?article=33&new_url=Download_MSVCR120.dll%2FMSVCR120.dll_not_found 

Let me know if it helps.

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
MuralikumarM
Beginner
6,919 Views
Hi @Richard Tan
Thanks for your response.
I found the dll file and replaced it with new one but again i am getting same error.

Please provide other possibilities to try and solve the problem.

Thanks
MuralikumarM
0 Kudos
RichardTanSY_Intel
6,915 Views

Hi @MuralikumarM 

 

Do you download the Modelsim installer from our Download Center for FPGAs? 

https://www.intel.com/content/www/us/en/programmable/downloads/download-center.html 

 

Try to uninstall the current Modelsim and install the Modelsim - Intel FPGA edition. 

Let me know if the issue persists.

 

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
RichardTanSY_Intel
6,914 Views

Hi @MuralikumarM 

 

You may checkout the below link for the solutions that might helps to solve the issue.

https://blog.pcrisk.com/windows/12478-the-program-cant-start-because-msvcr120dll-is-missing-from-your-computer#:~:text=You%20can%20fix%20the%20%22The,on%20the%20%22Run%22%20result.

 

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
MuralikumarM
Beginner
6,879 Views

Hi,

all the things above given was tried and still the same error showing.

 

regards

MuralikumarM

0 Kudos
RichardTanSY_Intel
6,865 Views

@MuralikumarM 

 

Hmm that's weird. Have you try to install in other machine and observe the same issue? 

Could you share a screenshot of the shown error? 

 

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
RichardTanSY_Intel
6,845 Views

We do not receive any response from you to the previous question/reply/answer that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
MuralikumarM
Beginner
6,823 Views

Hi,

sorry for the late reply,  caught on some other work.

 

actually i didnt tried installing on other PC. but I tried to install the quartus prime lite version.

and while running the simulation library compiler it shows as follows

 

Info: Command: quartus_sh --simlib_comp -tool modelsim -language vhdl -tool_path C:/intelFPGA_lite/20.1/modelsim_ae/win32aloem/ -directory C:/Users/murali.kumar/Desktop/OLD_SIM/learn/prime_lite -rtl_only

Info: Quartus(args): -tool modelsim -language vhdl -tool_path C:/intelFPGA_lite/20.1/modelsim_ae/win32aloem/ -directory C:/Users/murali.kumar/Desktop/OLD_SIM/learn/prime_lite -rtl_only

Info: Changing the current directory to output directory C:/Users/murali.kumar/Desktop/OLD_SIM/learn/prime_lite ..

Info: Using Path C:/intelFPGA_lite/20.1/modelsim_ae/win32aloem/ that was set in EDA Simulation Library Compiler Options

Info: Generating commands to compile library altera ...

Info: Generating commands to compile library lpm ...

Info: Generating commands to compile library sgate ...

Info: Generating commands to compile library altera_mf ...

Info: Generating commands to compile library altera_lnsim ...

Info: Executing command file containing library compilation commands

Info: Unable to checkout a license. Vsim is closing.

Error: ** Error: Invalid license environment. Application closing.

Info: Unable to checkout a license. Make sure your license file environment variables are set correctly and then run 'lmutil lmdiag' to diagnose the problem.

Info: Modelsim - Intel FPGA Edition uses the following environment variables to check the licenses (listed in the order of preference)

Info: 1. MGLS_LICENSE_FILE

Info: 2. LM_LICENSE_FILE.

Error: Compilation was NOT successful. 1 errors, 0 warnings

 

Kindly do the needful

MuralikumarM

 

0 Kudos
RichardTanSY_Intel
6,814 Views

Hi @MuralikumarM 

 

Please check that the LM_LICENSE_FILE is directed to a license file. Modelsim Intel Edition required a license.  

How to set LM_LICENSE_FILE:

In the command prompt:
setx LM_LICENSE_FILE <license file path>

example: C:\intelFPGA_pro\21.1\license.dat

 

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
MuralikumarM
Beginner
6,806 Views
Hi @Richard,
Thanks for your support
Now i can able to run modelsim...
Regards
MuralikumarM
0 Kudos
Reply