Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Compilation Internal Error Quartus 9.1

GradyM
Beginner
484 Views

I'm adding new capabilities to a Quartus 9.1 design for Stratix II.  This involved adding several new vhdl modules that instantiate 2 FIFOs.  I added the new custom files and the generated fifo .qip files to the Quartus project.  Also, several new connections between avalon modules were needed (outside the switch fabric, so these are "conduit" connections of signal type "export" in SOPC builder).  The updated fabric generation finishes without errors.  I can compile the full design in Modelsim and simulate it ok.  But when I compile it in Quartus 9.1, I get the following popup error:

Internal Error: Sub-system: STA, File: /quartus/tsm/sta/sta_collection_api.cpp, Line: 5455

the full text of the popup internal error text is attached.  Hoping someone has some ideas on how to tackle this.  I can't upgrade to latest Quartus since there is not budget to upgrade the huge amount of IP in the design.

Regards, 

Grady Muldrow

 

0 Kudos
1 Solution
GradyM
Beginner
431 Views

Thanks for the response Sheng.  Sorry, I couldn't provide the source due for proprietary reasons.

I found the cause of that quartus internal error.  I had removed a design component that isn't required anymore to free up resources (it is in the SOPC table of connected components), but I could still find it in the quartus settings file.  Apparently, it was defined as a design partition (possibly for incremental compile reasons?).  I’m not the original designer, so I’m not aware of the design decisions that went into setting that up.  I deleted it from design partition table, then I could compile successfully.  Would have been nice if tool had indicated “invalid design partition” instead of “internal error”.  Regards, Grady

View solution in original post

0 Kudos
3 Replies
ShengN_Intel
Employee
454 Views

Hi,


Could you provide a sample file which duplicates the internal error for testing?


Thanks,

Best Regards,

Sheng


0 Kudos
GradyM
Beginner
432 Views

Thanks for the response Sheng.  Sorry, I couldn't provide the source due for proprietary reasons.

I found the cause of that quartus internal error.  I had removed a design component that isn't required anymore to free up resources (it is in the SOPC table of connected components), but I could still find it in the quartus settings file.  Apparently, it was defined as a design partition (possibly for incremental compile reasons?).  I’m not the original designer, so I’m not aware of the design decisions that went into setting that up.  I deleted it from design partition table, then I could compile successfully.  Would have been nice if tool had indicated “invalid design partition” instead of “internal error”.  Regards, Grady

0 Kudos
ShengN_Intel
Employee
414 Views

Hi Grady,


I think the reason for that is old version Quartus is no more managed by design team. The latest Quartus don't have that problem as it will show partition hierarchy error instead of just internal error.


Btw, glad to hear that your issue has been resolved. I'll now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts.


Thanks!


0 Kudos
Reply