Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Crash: EMIF_TERMINATION_LOSS_DEVICE_60

Agdepusen
Beginner
891 Views

Hi,

Running 16.1 @ Windows 10 for an Arria 10 device (Reflex SOM module).

Quartus consistently fails with the following message:

 

Internal Error: Sub-system: SIN, File: /quartus/tsm/sin/sin_micro_tnodes_dag.cpp, Line: 516
Invalid branch: EMIF_TERMINATION_LOSS_DEVICE_60

 

Has anyone seen this? Works fine on Linux.

 

I have deleted the databases and restarted. No joy.

 

0 Kudos
11 Replies
AdzimZM_Intel
Employee
880 Views

Hi Sir,


I'm Adzim. Thanks for using the Intel Community.


May I know when the error message appears?


What is the Quartus Edition that you are used?


Do you used the same Quartus version on Windows and Linux environment?


Thanks,

Adzim


0 Kudos
Agdepusen
Beginner
866 Views

Hi,

sorry for the late reply, I'm on vacation.

The error message occurs midway in the analysis and synthesis stage.

Only on windows and we are using 16.1. On Linux this is not an issue.

Its the quartus prime standard edition.

0 Kudos
AdzimZM_Intel
Employee
852 Views

Hi,


Regarding to your problem with the Quartus v16.1 in windows environment, can you show me your error log or error messages in more detail so that I can get better understanding on the problem.


What IP that your are used?


May I know why you want to use the Quartus in Windows version? is the Linux version is not your?

 

Thanks


0 Kudos
Agdepusen
Beginner
837 Views

Hi,

I'm a hardware developer and my tools primarily run on windows. I hardly ever touch quartus these days. but I used to do a lot of HDL development back in the days.

Now, the sole purpose for me to run quartus is that we need to setup signal tap for JESD debugging. And the HDL leads are away on vacation.

Here is the log :

Problem Details
Error:
Internal Error: Sub-system: SIN, File: /quartus/tsm/sin/sin_micro_tnodes_dag.cpp, Line: 516
Invalid branch: EMIF_TERMINATION_LOSS_DEVICE_60
Stack Trace:
    0x56939: SIN_DAG_INTERNAL_NODE::get_data + 0x1d9 (TSM_SIN)
     0x2508: EMIFTCL_BODY::get_parameter + 0x18 (TSM_EMIFTCL_CORE)
     0x5a67: emiftcl_taf_emiftcl_get_parameter_value + 0x227 (tsm_emiftcl_taf)
    0x14410: TclInvokeStringCommand + 0xf0 (tcl86)
    0x161e2: TclNRRunCallbacks + 0x62 (tcl86)
    0x17a65: TclEvalEx + 0xa65 (tcl86)
    0xa6f8b: Tcl_FSEvalFileEx + 0x22b (tcl86)
    0xa5646: Tcl_EvalFile + 0x36 (tcl86)
    0xaf540: sta_read_sdc_file + 0x11c (TSM_STA)
    0xaf3e5: sta_read_default_sdc_files + 0x79 (TSM_STA)
    0xaf2cd: sta_read_sdc + 0x209 (TSM_STA)
    0x14410: TclInvokeStringCommand + 0xf0 (tcl86)
    0x161e2: TclNRRunCallbacks + 0x62 (tcl86)
    0x17a65: TclEvalEx + 0xa65 (tcl86)
    0x16ff7: Tcl_EvalEx + 0x27 (tcl86)
     0xa3bd: SSC_UTIL::tcl_eval + 0x2d (TSM_SSC)
     0x7e6c: SSC_UTIL::read_sdc_to_sta + 0xdc (TSM_SSC)
     0x7c9c: SSC_UTIL::read_and_apply_sdc_constraints + 0x10c (TSM_SSC)
     0x4a88: SSC_UTIL::build_tdb_sub_and_merge + 0x3c8 (TSM_SSC)
    0x43023: sutil_ssc_initialize_tdb_netlist + 0x33f (SYNTH_SUTIL)
    0x427b6: SUTIL_SSC::initialize_ssc + 0x7a (SYNTH_SUTIL)
    0x42851: SUTIL_SSC::SUTIL_SSC + 0x51 (SYNTH_SUTIL)
    0x2871f: sutil_ssc_build_comp_reg_info + 0x173 (SYNTH_SUTIL)
    0x1e537: MLS_NETLIST::remove_duplicate_dffs + 0x2e7 (SYNTH_MLS)
    0x17e7c: MLS_NETLIST::call_named_function + 0x1b0c (SYNTH_MLS)
    0x31cbd: MLS_INTERFACE::call_named_function + 0x6d (SYNTH_MLS)
    0x3c83e: RTL_SCRIPT::call_named_function + 0xe9e (SYNTH_OPT)
    0x40240: RTL_SCRIPT::process_script + 0x4f4 (SYNTH_OPT)
    0x41169: opt_process_netlist_scripted + 0x7a5 (SYNTH_OPT)
    0x39f0a: RTL_ROOT::process_sgate_netlist + 0x196 (SYNTH_OPT)
   0x15801d: SGN_SYNTHESIS::high_level_synthesis + 0x17d (synth_sgn)
   0x1589dd: SGN_SYNTHESIS::process_current_stage + 0x20d (synth_sgn)
    0xc3a7d: SGN_EXTRACTOR::synthesize_partition + 0x18d (synth_sgn)
    0xc367f: SGN_EXTRACTOR::synthesis + 0x1ff (synth_sgn)
    0xc37e4: SGN_EXTRACTOR::synthesis_and_post_processing + 0xc4 (synth_sgn)
    0x131a0: sgn_qic_full + 0x2a0 (synth_sgn)
     0x4391: qsyn_execute_sgn + 0x131 (quartus_map)
    0x13fac: QSYN_FRAMEWORK::execute_core + 0x12c (quartus_map)
    0x13ab6: QSYN_FRAMEWORK::execute + 0x496 (quartus_map)
    0x112bc: qexe_do_normal + 0x1ec (comp_qexe)
    0x16142: qexe_run + 0x432 (comp_qexe)
    0x16e51: qexe_standard_main + 0xc1 (comp_qexe)
    0x1b06b: qsyn_main + 0x51b (quartus_map)
    0x13328: msg_main_thread + 0x18 (CCL_MSG)
    0x14b0e: msg_thread_wrapper + 0x6e (CCL_MSG)
    0x15b00: mem_thread_wrapper + 0x70 (ccl_mem)
    0x12a11: msg_exe_main + 0xa1 (CCL_MSG)
    0x29862: __tmainCRTStartup + 0x10e (quartus_map)
    0x17033: BaseThreadInitThunk + 0x13 (KERNEL32)
    0x52650: RtlUserThreadStart + 0x20 (ntdll)
 
End-trace


Executable: quartus
Comment:
None

System Information
Platform: windows64
OS name: Windows 10
OS version: 10.0

Quartus Prime Information
Address bits: 64
Version: 16.1.2
Build: 203
Edition: Standard Edition

 

0 Kudos
AdzimZM_Intel
Employee
829 Views

Hi Sir,


Which IP that you used in your design?

When do the error appear?



0 Kudos
Agdepusen
Beginner
822 Views

Hi,

it started out as a full project with JESD204 and HPS.

The error is present in windows and not in linux

Removed the HPS components and the error is still present.

The last few lines:

Warning (19016): Clock multiplexers are found and protected
Warning (19017): Found clock multiplexer eth1_tx_clk
Warning (19017): Found clock multiplexer eth1_tx_clk~0
Warning (19017): Found clock multiplexer eth2_tx_clk
Warning (19017): Found clock multiplexer eth2_tx_clk~0
Info (13014): Ignored 17 buffer(s)
Info (13016): Ignored 17 CARRY_SUM buffer(s)

CRASH.

This happens early in the analysis and synthesis part

0 Kudos
CheePin_C_Intel
Employee
806 Views

Hi,


As I understand it, you seems to encounter some problem with the JESD IP which I presume is JESD204B. Please correct me if I am wrong, from the previous discussion, I understand that you are using Q16.1 Standard Edition and A10 device. By merely looking at the error message, this seems to be an internal Quartus error and EMIF related. I am not sure why JESD IP could trigger EMIF related error.


To facilitate further debugging, would you mind to do the following:


1. Create a simple test design with only the JESD204B IP instance in your design and no other IP or non related modules


2. Run through Quartus compilation to see if internal error still exist?


3. If yes, please try with the latest Quartus version ie Q21.2Pro or Q20.1.1Std as similar problem might have been fixed from v16.1 to v20.2. Generally it is recommended to use the latest Quartus version.


4. If the latest Quartus version still having similar problem, please share with me the test design for problem replication.


5. If No to #2, then you would need to add in module by module until the problem pops up to narrow down which specific change/module leading to the internal error.


Please let me know if there is any concern. Thank you.


0 Kudos
Agdepusen
Beginner
796 Views

Hi,

with a barebone project the error still persists.

We have not decided if we want to move to the newer chips for future projects so we have not upgraded the quartus software yet. To be honest the JESD204 has been a time consuming fellow.

BUT, it still works under Linux. May I ask what is the EMIF error related to?

I'll build up a project from scratch.

0 Kudos
CheePin_C_Intel
Employee
786 Views

Hi,


Thanks for your update. For your information, the error triggerred is a Quartus internal error. I do not have visibility into the reason behind to this error. We will need to engage Engineering to understand further. However, since the Quartus version used is old, Engineering will recommend to upgrade to latest Quartus to check if it has been fixed.


If you are unable to try with latest Quartus, you can look into using the workaround of running in Linux since it is working for you. Sorry for the inconvenience.


Please let me know if there is any concern. Thank you.



Best regards,

Chee Pin


0 Kudos
CheePin_C_Intel
Employee
751 Views

Hi,


Just to follow up with you on this. Thank you.


0 Kudos
CheePin_C_Intel
Employee
713 Views

Hi,


As I understand it, it has been some time since I last heard from you. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.



0 Kudos
Reply