Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

Custom QSYS component do not instantiate generic parameter correctly.

Altera_Forum
Honored Contributor II
1,292 Views

Hello 

I created a custom qsys component with generic parameters (baud rate of a UART for example). 

 

If I add my component to a NIOS configuration I can change the parameters of my component properly. 

 

The changed properties are however not reflected in the generated qsys vhdl code. In the VHLD configuration the parameters keep their default values. 

 

After some analysis I see that the parameter property in the tcl file "HDL_PARAMETER" is missing in the TCL file. 

 

Manually adding this property to the tcl seems to solve the problem. 

 

My question: How can I set the HDL_PARAMETER in the component wizzard ? What did I do wrong, is this a bug ? 

 

Best Regards, 

Johi.
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
464 Views

Does the parameter appear in the Parameters tab of the Component Editor when you are creating the component? You have to have first read in the HDL code on the Files tab.

0 Kudos
Altera_Forum
Honored Contributor II
464 Views

Yes the parameter does appear in the Parameters tab of the Component Editor. 

I created the parameters with the Component Editor and generated the main frame HLD file with the Component Editor (Create Synthesis Files in the Files tab of the Editor). 

Editing the component shows the parameter in the Component Editor correctly.
0 Kudos
Reply