Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

Does Quartus 13.1 pro supports Intel-FPGA-Quartus-RSA-1 RTL encrypted code?

AEsqu
Novice
643 Views

Hello,

I've seen in another forum that Quartus pro supports the RTL encrypted with Intel-FPGA-Quartus-RSA-1.

But I have the impression it is only supported by quartus prime and not quartus pro.

The problem is that I use a Stratrix 3 FPGA and cannot use any new version of quartus than 13.1. 

Quartus pro 13.1 gives an error at the line 3 of reading the encrypted verilog code which is:

`pragma protect begin_protected
`pragma protect version=1

error:

Critical Warning (10191): Verilog HDL Compiler Directive warning at __defines.vh(2): text macro "pragma" is undefined

Error (10170): Verilog HDL syntax error at __defines.vh(3) near text "protect"; expecting ";"

Later on the file there are references for quartus (are they understood by quartus prime?):

`pragma protect key_keyowner="Intel Corporation"
`pragma protect key_keyname="Intel-FPGA-Quartus-RSA-1"
`pragma protect key_method="rsa"
`pragma protect encoding = (enctype = "base64", line_length = 76, bytes = 256 )
`pragma protect key_block
n0UPGPFspR1ysbje0ATJO017usMhu6rHITQH+dT3Twom+D...

 

I was planning to use Synplify to create the gate netlist but the output is encrypted in the vqm,

which also gives error with quartus.

Alex.

 

 

0 Kudos
3 Replies
AEsqu
Novice
622 Views

Apparently the support was introduced at Quartus Pro 17.1,

can you confirm?

0 Kudos
RichardTanSY_Intel
602 Views

Yes, you are right.

IEEE 1735 Encryption Standard is only supported in Quartus Prime Pro Edition. Quartus Standard/Lite does not support it unfortunately.

https://www.intel.com/content/www/us/en/docs/programmable/683102/21-3/support-for-the-ieee-1735-encryption.html


0 Kudos
RichardTanSY_Intel
579 Views

I believed I have answered your question. With that, I will now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

 

Best Regards,

Richard Tan

 

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos and select the best solution. 


0 Kudos
Reply