Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16559 Discussions

ERROR: Unable to checkout a license. Vsim is closing.

asard5
Beginner
24,407 Views

I am trying to run a VWF and I get this error:

**** Running the ModelSim simulation ****

c:/intelfpga_lite/19.1/modelsim_ae/win32aloem//vsim -c -do lab1_ex.do

Unable to checkout a license. Vsim is closing.

** Fatal: Invalid license environment. Application closing.

Unable to checkout a license. Make sure your license file environment variables are set correctly and then run 'lmutil lmdiag' to diagnose the problem.

Modelsim - Intel FPGA Edition uses the following environment variables to check the licenses (listed in the order of preference)

1. MGLS_LICENSE_FILE

2. LM_LICENSE_FILE.

 

Error.

 

I can't find anything with the newer versions of quartus. I have Modelsim Starter Edition 19.1 downloaded along with quartus lite.

0 Kudos
8 Replies
KhaiChein_Y_Intel
24,372 Views

Hi,

 

Can you check if you are installing the Starter edition? The path indicates that you are using the paid edition of the software -- modelsim_ae. The starter edition is modelsim_ase.

 

c:/intelfpga_lite/19.1/modelsim_ae/win32aloem//vsim -c -do lab1_ex.do

 

Thanks.

Best regards,

KhaiY

KhaiChein_Y_Intel
24,372 Views

Hi,

 

Do you have any updates?

 

Thanks

Best regards,

KhaiY

0 Kudos
KhaiChein_Y_Intel
24,372 Views

Hi,

We do not receive any response from you to the previous question/reply/answer that I have provided. Please post a response in the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you with your follow-up questions.

 

Thanks.

Best regards,

KhaiY

0 Kudos
oma59
Beginner
23,903 Views


Unable to checkout a license. Vsim is closing.
** Fatal: Invalid license environment. Application closing.
Unable to checkout a license. Make sure your license file environment variables are set correctly and then run 'lmutil lmdiag' to diagnose the problem.
Modelsim - Intel FPGA Edition uses the following environment variables to check the licenses (listed in the order of preference)
1. MGLS_LICENSE_FILE
2. LM_LICENSE_FILE.

0 Kudos
sametergisi
Beginner
22,261 Views

i also have same error

do u have a solution for this?

my error code is 


c:/altera/13.1/modelsim_ase/win32aloem//vsim -c -do Experiment1.do

Unable to checkout a license. Vsim is closing.
** Fatal: Invalid license environment. Application closing.
Unable to checkout a license. Make sure your license file environment variables are set correctly and then run 'lmutil lmdiag' to diagnose the problem.
Modelsim-Altera uses the following environment variables to check the licenses (listed in the order of preference)
1. MGLS_LICENSE_FILE
2. LM_LICENSE_FILE.

Error.

0 Kudos
AndreCavalcante
Beginner
18,550 Views

Same here. For both modelsim and questa intel fpga.

Path for Questa: C:\intelFPGA_lite\21.1\questa_fse\win64

Path for ModelSim: C:\intelFPGA_pro\21.1\modelsim_ase\win32aloem

 

0 Kudos
HernandoR
Beginner
17,779 Views

same

0 Kudos
Eifel
Beginner
16,154 Views

Hello!

 

I got the solution for this problem. I created a small video that explains how to obtain a free licence from Intel and how to set environment variable to get Questa running. See more on my YT channel : Watch on YouTube - How to fix Unable to checkout a licence. 

 

 

Cheers

Reply