Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

Error 10500 Help me !!!

Altera_Forum
Honored Contributor II
1,316 Views

so this is one of my first VHDL codes... 

(havent finished the cnt select part on the bottom^^) 

 

I get some "10500 vhdl Syntax error"s between 'begin' and 'with cnt select'... But why?? :(:confused: 

I can't find anything missing ._. 

 

library ieee; 

use ieee.std_logic_1164all; 

 

entity helloworld is 

port(key0: IN STD_LOGIC; 

Hex0: OUT STD_LOGIC_VECTOR(6 downto 0) 

); 

end helloworld; 

 

architecture behaviour of helloworld is 

 

signal a: STD_LOGIC; 

signal cnt: STD_LOGIC := '0'; 

 

begin 

a <= not key0; 

 

if a = '1' then 

cnt <= cnt + 1; 

end if; 

 

if cnt = '16' then 

cnt <= '0'; 

end if; 

 

with cnt select 

 

end behaviour;
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
554 Views

if statements must be in a procedural block of code - like a process

0 Kudos
Altera_Forum
Honored Contributor II
554 Views

Also, it should be: use ieee.std_logic_1164.all;

0 Kudos
Altera_Forum
Honored Contributor II
554 Views

@Tricky: 

Oh thanks a lot , that must be the mistake^^ I didn't realize that as I'm more used to write in C. 

I'll try again now :) 

 

@sstrell: 

Thank you but this mistake is only here in the Thread from typing.
0 Kudos
Reply