Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

Error (10928): SystemVerilog error ... enum type cannot be assigned to enum type

Altera_Forum
Honored Contributor II
1,818 Views

Hi All, 

 

I'm receiving the "Error (10928): SystemVerilog error at rly.v(141): enum type cannot be assigned to enum type - enum target requires cast" while compiling my code. 

 

Here is the code: 

 

typedef enum reg { IDLE, LOAD, SHIFT} rly_fsm_t; rly_fsm_t state, nxt_state; rly_fsm# (/*AUTOINSTPARAM*/ // Parameters .L (DW)) // Templated i_rly_fsm (//*** /*AUTOINST*/ // Interfaces .state (state), .nxt_state (nxt_state), // Inputs .clk (clk), .rstn (rstn), .go (cmd_rdy));  

 

So, what's the problem? How to fix? 

 

Dmitry
0 Kudos
0 Replies
Reply