Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Error (12004): Port "out1" does not exist in primitive "AND2" of instance "U3"

NKYTE
Beginner
1,548 Views
 
0 Kudos
1 Reply
RichardTanSY_Intel
1,422 Views

I can't figure it out. Could you help to share your .qar project file so I could further check on it?

0 Kudos
Reply