Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Error: Node instance "inst" instantiates undefined entity "XXX"

Altera_Forum
Honored Contributor II
17,360 Views

Hi all, 

I have created the symbol file from the verilog code in Quartus. Then i have added verilog file and bsf file into Quartus --> library. Then i have created new project for adding my block design file and started synthesis. Its throwing an error 

 

"Error (12006): Node instance "inst" instantiates undefined entity "count" 

Error: Quartus II 64-Bit Analysis & Synthesis was unsuccessful. 1 error, 0 warnings 

 

Is there anyone having idea about this error. Please look over the attachment and guide me.
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
12,403 Views

you need to add the source file to the project file list, not just the library. The library gives quartus search paths to find things like header files or verilog `includes.

Altera_Forum
Honored Contributor II
12,403 Views

Thanks :) 

 

Problem is sorted out.
0 Kudos
Reply