Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

Error (suppressible): (vopt-14408)

dsun01
New Contributor III
4,181 Views

Dear Support/Expert, 

 

I am running An803 on quartus pro 21.3, after some upgrade and struggle, I can make the project compile, I have to use two dummy modules to replace the protected modules.  finally I get the following dump of the elab_debug.  the only error from the report is 

Error (suppressible): (vopt-14408) Intel Starter FPGA Edition recommended capacity is 5000 non-OEM instances. There are 13936 non OEM instances. Expect performance to be severely impacted.

How to suppress this error and make the vsim continue?

at the end of the report, it says  ** Note: (vsim-12126) Error and warning message counts have been restored: Errors=20, Warnings=110.

 

I only saw one error, why it claimed Errors = 20. 

please don't tell me I have to run it with quartus 17.1.  If it won't run with 21.3, I doubt it can run with 17.1. 

 

 

# [exec] elab_debug
# vsim -voptargs="+acc" -L work -L work_lib -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L twentynm_ver -L twentynm_hssi_ver -L twentynm_hip_ver -L altera_gpio_core20_2100 -L altera_gpio_2100 -L altera_jesd204_se_outbuf_1bit -L altera_jesd204_ed_qsys_TX_link_clk -L altera_reset_controller_1921 -L altera_jesd204_ed_qsys_TX_reset_controller_0 -L altera_reset_sequencer_1920 -L altera_jesd204_subsystem_TX_reset_seq -L altera_jesd204_subsystem_TX_frame_clk -L altera_jesd204_subsystem_TX_link_clk -L altera_avalon_pio_1913 -L altera_jesd204_ed_qsys_TX_pio_status -L altera_avalon_mm_bridge_2001 -L altera_jesd204_subsystem_TX_mm_bridge -L altera_xcvr_reset_control_1911 -L altera_jesd204_subsystem_TX_xcvr_reset_control_0 -L altera_jesd204_ed_qsys_TX_frame_clk -L altera_jesd204_ed_qsys_TX_device_clk -L altera_jesd204_subsystem_TX_mgmt_clk -L altera_jesd204_subsystem_TX_tx_link_reset_n_0 -L altera_jesd204_ed_qsys_TX_pio_control -L altera_jesd204_subsystem_TX_tx_frame_reset_n_0 -L altera_jesd204_subsystem_TX_device_clk -L altera_jesd204_ed_qsys_TX_JTAG_reset -L altera_jesd204_ed_qsys_TX_mgmt_clk -L altera_iopll_1931 -L altera_jesd204_ed_qsys_TX_core_pll -L altera_avalon_spi_1911 -L altera_jesd204_ed_qsys_TX_spi_0 -L altera_common_sv_packages -L altera_avalon_mm_master_bfm_191 -L altera_jesd204_ed_qsys_TX_mm_master_bfm_0 -L altera_xcvr_atx_pll_a10_191 -L altera_jesd204_ed_qsys_TX_xcvr_atx_pll_0 -L altera_jesd204_tx_191 -L altera_jesd204_tx_mlpcs_191 -L altera_jesd204_phy_adapter_xs_191 -L altera_xcvr_native_a10_191 -L altera_jesd204_phy_191 -L altera_jesd204_1920 -L altera_jesd204_subsystem_TX_altera_jesd204_TX -L altera_merlin_master_translator_191 -L altera_merlin_slave_translator_191 -L altera_merlin_master_agent_191 -L altera_merlin_slave_agent_191 -L altera_avalon_sc_fifo_1930 -L altera_merlin_router_1920 -L altera_merlin_traffic_limiter_191 -L altera_avalon_st_pipeline_stage_1920 -L altera_merlin_burst_adapter_1921 -L altera_merlin_demultiplexer_1921 -L altera_merlin_multiplexer_1921 -L altera_mm_interconnect_1920 -L altera_jesd204_subsystem_TX -L altera_jesd204_ed_qsys_TX tb_top
# Start time: 21:15:55 on Jan 23,2022
# ** Note: (vsim-3812) Design is being optimized...
# ** Error (suppressible): (vopt-14408) Intel Starter FPGA Edition recommended capacity is 5000 non-OEM instances. There are 13936 non OEM instances. Expect performance to be severely impacted.
# ** Warning: C:/FPGA/arria10devkit/AN803_sync_Multi-link_jesd204b/ed_sim/testbench/models/ip/altera_jesd204_ed_qsys_RX/altera_jesd204_ed_qsys_RX_spi_0/sim/altera_jesd204_ed_qsys_RX_spi_0.v(22): (vopt-2685) [TFMPC] - Too few port connections for 'spi_0'. Expected 16, found 13.
# ** Warning: C:/FPGA/arria10devkit/AN803_sync_Multi-link_jesd204b/ed_sim/testbench/models/ip/altera_jesd204_ed_qsys_RX/altera_jesd204_ed_qsys_RX_spi_0/sim/altera_jesd204_ed_qsys_RX_spi_0.v(22): (vopt-2718) [TFMPC] - Missing connection for port 'readyfordata'.
# ** Warning: C:/FPGA/arria10devkit/AN803_sync_Multi-link_jesd204b/ed_sim/testbench/models/ip/altera_jesd204_ed_qsys_RX/altera_jesd204_ed_qsys_RX_spi_0/sim/altera_jesd204_ed_qsys_RX_spi_0.v(22): (vopt-2718) [TFMPC] - Missing connection for port 'endofpacket'.
# ** Warning: C:/FPGA/arria10devkit/AN803_sync_Multi-link_jesd204b/ed_sim/testbench/models/ip/altera_jesd204_ed_qsys_RX/altera_jesd204_ed_qsys_RX_spi_0/sim/altera_jesd204_ed_qsys_RX_spi_0.v(22): (vopt-2718) [TFMPC] - Missing connection for port 'dataavailable'.
# ** Warning: ../sim_models/ip/altera_jesd204_ed_qsys_TX/altera_jesd204_ed_qsys_TX_spi_0/sim/altera_jesd204_ed_qsys_TX_spi_0.v(22): (vopt-2685) [TFMPC] - Too few port connections for 'spi_0'. Expected 16, found 13.
# ** Warning: ../sim_models/ip/altera_jesd204_ed_qsys_TX/altera_jesd204_ed_qsys_TX_spi_0/sim/altera_jesd204_ed_qsys_TX_spi_0.v(22): (vopt-2718) [TFMPC] - Missing connection for port 'readyfordata'.
# ** Warning: ../sim_models/ip/altera_jesd204_ed_qsys_TX/altera_jesd204_ed_qsys_TX_spi_0/sim/altera_jesd204_ed_qsys_TX_spi_0.v(22): (vopt-2718) [TFMPC] - Missing connection for port 'endofpacket'.
# ** Warning: ../sim_models/ip/altera_jesd204_ed_qsys_TX/altera_jesd204_ed_qsys_TX_spi_0/sim/altera_jesd204_ed_qsys_TX_spi_0.v(22): (vopt-2718) [TFMPC] - Missing connection for port 'dataavailable'.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Note: (vopt-143) Recognized 1 FSM in module "ramp_checker(fast)".
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/altera_lnsim.sv(36301): (vopt-2685) [TFMPC] - Too few port connections for 'iopll_inst'. Expected 51, found 50.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/altera_lnsim.sv(36301): (vopt-2718) [TFMPC] - Missing connection for port 'pipeline_global_en_n'.
# ** Note: (vopt-143) Recognized 1 FSM in module "alternate_checker(fast)".
# ** Warning: ../sim_models/altera_jesd204_se_outbuf_1bit/altera_gpio_core20_2100/sim/altera_gpio.sv(474): (vopt-2685) [TFMPC] - Too few port connections for 'obuf'. Expected 8, found 3.
# ** Warning: ../sim_models/altera_jesd204_se_outbuf_1bit/altera_gpio_core20_2100/sim/altera_gpio.sv(474): (vopt-2718) [TFMPC] - Missing connection for port 'obar'.
# ** Warning: ../sim_models/altera_jesd204_se_outbuf_1bit/altera_gpio_core20_2100/sim/altera_gpio.sv(474): (vopt-2718) [TFMPC] - Missing connection for port 'devoe'.
# ** Warning: ../sim_models/altera_jesd204_se_outbuf_1bit/altera_gpio_core20_2100/sim/altera_gpio.sv(474): (vopt-2718) [TFMPC] - Missing connection for port 'parallelterminationcontrol'.
# ** Warning: ../sim_models/altera_jesd204_se_outbuf_1bit/altera_gpio_core20_2100/sim/altera_gpio.sv(474): (vopt-2718) [TFMPC] - Missing connection for port 'seriesterminationcontrol'.
# ** Warning: ../sim_models/altera_jesd204_se_outbuf_1bit/altera_gpio_core20_2100/sim/altera_gpio.sv(474): (vopt-2718) [TFMPC] - Missing connection for port 'dynamicterminationcontrol'.
# ** Note: (vopt-143) Recognized 1 FSM in module "altera_reset_sequencer_main(fast)".
# ** Warning: ../sim_models/ip/altera_jesd204_ed_qsys_TX/altera_jesd204_ed_qsys_TX_mm_master_bfm_0/sim/altera_jesd204_ed_qsys_TX_mm_master_bfm_0.v(85): (vopt-2685) [TFMPC] - Too few port connections for 'mm_master_bfm_0'. Expected 25, found 24.
# ** Warning: ../sim_models/ip/altera_jesd204_ed_qsys_TX/altera_jesd204_ed_qsys_TX_mm_master_bfm_0/sim/altera_jesd204_ed_qsys_TX_mm_master_bfm_0.v(85): (vopt-2718) [TFMPC] - Missing connection for port 'avm_writeresponserequest'.
# ** Warning: C:/FPGA/arria10devkit/AN803_sync_Multi-link_jesd204b/ed_sim/testbench/models/ip/altera_jesd204_ed_qsys_RX/altera_jesd204_ed_qsys_RX_mm_master_bfm_0/sim/altera_jesd204_ed_qsys_RX_mm_master_bfm_0.v(85): (vopt-2685) [TFMPC] - Too few port connections for 'mm_master_bfm_0'. Expected 25, found 24.
# ** Warning: C:/FPGA/arria10devkit/AN803_sync_Multi-link_jesd204b/ed_sim/testbench/models/ip/altera_jesd204_ed_qsys_RX/altera_jesd204_ed_qsys_RX_mm_master_bfm_0/sim/altera_jesd204_ed_qsys_RX_mm_master_bfm_0.v(85): (vopt-2718) [TFMPC] - Missing connection for port 'avm_writeresponserequest'.
# ** Warning: ../sim_models/ip/altera_jesd204_ed_qsys_TX/altera_jesd204_ed_qsys_TX_xcvr_atx_pll_0/sim/altera_jesd204_ed_qsys_TX_xcvr_atx_pll_0.v(113): (vopt-2685) [TFMPC] - Too few port connections for 'xcvr_atx_pll_0'. Expected 46, found 45.
# ** Warning: ../sim_models/ip/altera_jesd204_ed_qsys_TX/altera_jesd204_ed_qsys_TX_xcvr_atx_pll_0/sim/altera_jesd204_ed_qsys_TX_xcvr_atx_pll_0.v(113): (vopt-2718) [TFMPC] - Missing connection for port 'avmm_busy1'.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Warning: C:/intelFPGA_pro/21.3/quartus/eda/sim_lib/mentor/twentynm_hssi_atoms_ncrypt.v(40): (vopt-2958) Implicit wire '<protected>' does not have any driver.
# ** Note: (vopt-143) Recognized 1 FSM in module "prbs_checker(fast)".
# ** Note: (vsim-12126) Error and warning message counts have been restored: Errors=20, Warnings=110.
# Error loading design
# Error: Error loading design

 

0 Kudos
1 Solution
ShengN_Intel
Employee
4,154 Views

Hi dsun01,

 

Try to add this one vsim -suppress 14408 to suppress error.

 

Best regards,
Sheng


View solution in original post

0 Kudos
3 Replies
ShengN_Intel
Employee
4,155 Views

Hi dsun01,

 

Try to add this one vsim -suppress 14408 to suppress error.

 

Best regards,
Sheng


0 Kudos
dsun01
New Contributor III
4,134 Views

Hi ShengN

 

Thank you very much, it worked.

 

David

0 Kudos
ShengN_Intel
Employee
4,125 Views

Hi David,

Glad to hear that your issue has been resolved.
I'll now transition this thread to community support.
If you have a new question, feel free to open a new thread to get the support from Intel experts.

Thank you.

Best regards,
Sheng


0 Kudos
Reply