Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

Error: (vcom-13) Recompile altera_mf.altera_mf_components because ieee.std_logic_1164, std.texti

Nag_t
Beginner
676 Views

Observed below error during simulation stage with quartus standard 21.1 version and questasim 2022.2 version. what is the cause of this error ?


# -- Loading package STANDARD
# -- Loading package TEXTIO
# -- Loading package std_logic_1164
# -- Loading package dspba_library_package
# -- Compiling entity dspba_delay
# -- Compiling architecture delay of dspba_delay
# -- Loading package NUMERIC_STD
# -- Compiling entity dspba_sync_reg
# -- Compiling architecture sync_reg of dspba_sync_reg
# -- Compiling entity dspba_pipe
# -- Compiling architecture rtl of dspba_pipe
# End time: 18:57:02 on Aug 30,2022, Elapsed time: 0:00:00
# Errors: 0, Warnings: 0
# QuestaSim-64 vcom 2022.2 Compiler 2022.04 Apr 25 2022
# Start time: 18:57:02 on Aug 30,2022
# vcom Altera/Arria_V/5AGTFC7H3F35I3/F200/alterafpf_mul_double.vhd
# -- Loading package STANDARD
# -- Loading package TEXTIO
# -- Loading package std_logic_1164
# -- Loading package NUMERIC_STD
# -- Loading package MATH_REAL
# -- Loading package dspba_library_package
# ** Error: (vcom-13) Recompile altera_mf.altera_mf_components because
ieee.std_logic_1164, std.textio have changed.
# ** Error (suppressible):
Altera/Arria_V/5AGTFC7H3F35I3/F200/alterafpf_mul_double.vhd(30): (vcom-1195)
Cannot find expanded name "altera_mf.altera_mf_components".
# ** Error: Altera/Arria_V/5AGTFC7H3F35I3/F200/alterafpf_mul_double.vhd(30):
Unknown expanded name.
# ** Error: (vcom-13) Recompile altera_lnsim.altera_lnsim_components because
ieee.std_logic_1164, std.textio have changed.
# ** Error (suppressible):
Altera/Arria_V/5AGTFC7H3F35I3/F200/alterafpf_mul_double.vhd(32): (vcom-1195)
Cannot find expanded name "altera_lnsim.altera_lnsim_components".
# ** Error: Altera/Arria_V/5AGTFC7H3F35I3/F200/alterafpf_mul_double.vhd(32):
Unknown expanded name.
# ** Error: (vcom-13) Recompile lpm.lpm_components because
ieee.std_logic_1164,
std.textio have changed.
# ** Error (suppressible):
Altera/Arria_V/5AGTFC7H3F35I3/F200/alterafpf_mul_double.vhd(34): (vcom-1195)
Cannot find expanded name "lpm.lpm_components".
# ** Error: Altera/Arria_V/5AGTFC7H3F35I3/F200/alterafpf_mul_double.vhd(34):
Unknown expanded name.
# ** Note: Altera/Arria_V/5AGTFC7H3F35I3/F200/alterafpf_mul_double.vhd(36):
VHDL Compiler exiting
# End time: 18:57:02 on Aug 30,2022, Elapsed time: 0:00:00
# Errors: 9, Warnings: 0

 

0 Kudos
3 Replies
ShengN_Intel
Employee
646 Views

Hi,


The latest Quartus Standard version only until 21.1.1 check this https://www.intel.com/content/www/us/en/software-kit/736595/intel-quartus-prime-standard-edition-design-software-version-21-1-1-for-windows.html so most probably Questa v2022.2 not yet supported on Quartus Standard v21.1. Try to use the matched version Questa v2021.1 instead.


Best Regards,

Sheng


p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution and give Kudos.


0 Kudos
Kenny_Tan
Moderator
629 Views

Hi,


Not sure if you have further question?


Thanks


0 Kudos
ShengN_Intel
Employee
606 Views

Since there are no feedback for this thread, I shall set this thread to close pending. If you still need further assistance, you are welcome reopen this thread within 20days or open a new thread, some one will be right with you.


0 Kudos
Reply