Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16557 Discussions

Found two LVDS low registers instead of one! (ID: 176067)

UMall1
New Contributor I
659 Views

I am using an instance of the ALTLVDS_Rx mega function. When I compile my VHDL, I receive the following error:

Error (176067): Found two LVDS low registers instead of one!
Error (176066): Found two LVDS high registers instead of one!
Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01
Error (171000): Can't fit design in device

What does the above error message mean? What is causing it? How do I get around it?

 

UM

0 Kudos
1 Solution
UMall1
New Contributor I
615 Views

The matter has been resolved. Evidently Altera does not allow a single LVDS pair to drive two SERDES receivers. When such a thing happens the above listed errors are thrown.

View solution in original post

0 Kudos
4 Replies
sstrell
Honored Contributor III
640 Views

What are your IP parameters?  How are you adding the IP into the design?  What are your I/O assignments in the Pin Planner?  More detail needed here.

0 Kudos
UMall1
New Contributor I
635 Views

ALTLVDS_RX unit used. Signals - RESET, SIG_IN, CLOCK, SIG_VECTOR_OUT.

IO ASSIGNMENT: INPUT SIGNAL (SIG_IN) and CLOCK assigned as an LVDS pair using pin planner. Reset is a an asynchronous signal brought in by means of a clock pin/clock network. 

0 Kudos
UMall1
New Contributor I
616 Views

The matter has been resolved. Evidently Altera does not allow a single LVDS pair to drive two SERDES receivers. When such a thing happens the above listed errors are thrown.

0 Kudos
AminT_Intel
Employee
577 Views

I’m glad that your question has been addressed, I now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users

0 Kudos
Reply