Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

How to constrain Jtag signals of Cyclone 4 FPGA?

SK_VA
Beginner
1,306 Views

I constrained all I/Os of my FPGA Cyclone 4 device.

But still it shows unconstrained path for jtag signals:-

altera_reserved_tdi,altera_reserved_tdo,altera_reserved_tms,altera_reserved_tck.

Can I set Jtag signals as false path?

How to constrain these signals?

0 Kudos
3 Replies
Rahul_S_Intel1
Employee
357 Views

Hi ,

Those signals are reserved signals.

Rs

0 Kudos
SK_VA
Beginner
357 Views

These are reserved signals.But Timing analysis shows unconstrained paths for these pins.

How to solve this?

0 Kudos
Knug
Beginner
330 Views

Same question I have.

Timing STA analysis showed

  • no output delay : altera_reserved_tdo
  • no input delays : altera_reserved_tms, altera_reserved_tck & alrera_reserved_tdi

How do we constrain them ?

 

0 Kudos
Reply