Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16558 Discussions

How to constrain clock

echen73
Beginner
1,506 Views

0223.pngMy design has 2 gated clock from the same source CLK: 1. CLK_A is for modiule A, 2. CLK_B is for module B. The design needs to communication between module A and module B. How to constrain the clocks to meet the timing?

0 Kudos
2 Replies
ak6dn
Valued Contributor III
975 Views

Don't use gated clocks. Use the same global clock signal, and pass the enable signal into the modules and condition their operation with that enable. If you do this then all your circuits operate off the same clock signal, and the enable and data inputs just need to meet setup/hold with respect to the clock. You can then also employ the multicycle timing constraint to ease the implementation as well, possibly allowing for a higher clock rate. Gated clocks is generally a very bad idea as controlling skew between them because of the inline logic is hard.

Reply