Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16603 Discussions

How to initialize BRAM in RTL code?

ldm_as
Novice
1,102 Views

Hi All,

How to initialize BRAM in RTL code for simulation?

Thank you!

 

0 Kudos
1 Reply
SyafieqS
Moderator
1,042 Views

Hi Dmitry,

 

You can actually found a very easy almost "turn key" solution to BRAM initialization on Intel. In Quartus, there are built-in VHDL and Verilog templates which can automatically infer BRAM. These templates have memory initialization utilities built-in which the user can modify to populate with whatever data they want (such as a bit vector from a generic). Refer to link below

 

https://www.intel.com/content/www/us/en/programmable/quartushelp/current/index.htm#mapIdTopics/suc1438828406965.htm

 

Thanks,

Regards

0 Kudos
Reply