Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

How to run counter program in modelsim?

Altera_Forum
Honored Contributor II
2,195 Views

I am running following counter program without test bench. But in modelsim, i am not getting any signals. Please anyone share me counter examples program rar.  

 

I want to run counter program either in Quartus or in NIOS. Please explain me how ?
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
1,190 Views

Hi, 

You need to write a testbench for the counter design to simulate it. Another option is to manually force the inputs in ModelSim, select the signal and right-click. Then select force.. to drive values to inputs, select Clock to define clock waveforms. After forcing the 1st set of inputs, run the simulation for a minimum period of time.
0 Kudos
Altera_Forum
Honored Contributor II
1,190 Views

Hi, 

 

You can check the files attached for reference.  

 

For simulation using Modelsim steps below is one of the way,  

1.Files->Change directory->Browser to the folder where you have the test bench or top design file and click Ok. 

2.File ->new->library->with default option click ok. 

3.compile->compile->select the file (test bench & design top file)->compile->done 

4.Simulate->start simulation->select the library work"+"->select the top file under the library ->click ok. 

5.objects->right click and add the wave to the design  

6.Select run length and run. 

 

In qsys custom component refer links 

https://www.youtube.com/watch?v=v6rhbvablo8 

https://www.altera.com/en_us/pdfs/literature/hb/qts/qsys_components.pdf 

 

Let me know if this has helped resolve the issue you are facing or if you need any further assistance. 

 

Best Regards, 

Anand Raj Shankar 

(This message was posted on behalf of Intel Corporation)
0 Kudos
Altera_Forum
Honored Contributor II
1,190 Views

Hi,  

 

Thanks for your response :) To simulate the code in modelsim, whether test bench is must. I have not included any test bench files in my previous design.
0 Kudos
Altera_Forum
Honored Contributor II
1,190 Views

Required. Modelsim has features to generate test benches for a design interactively. Most people are writing it from the scratch however. 

 

There's also the University Program way to use legacy VWF signal waveforms as stimulus. But it's very restricted because the test bench can only use unconditional stimuli and can't interact with the DUT. It's nevertheless a short cut for very basic simulations.
0 Kudos
Reply