Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

How to run the "Generate TCL File for Project" TCL file in command-line?

gyuunyuu
New Contributor II
1,221 Views

The Project -> Generate TCL File for Project option generates a TCL file. It seems to be a file that can create a new Quartus project from scratch and add all the source files into it automatically. Once I have this TCL file, how am I supposed to run it from command-line? I don't think a normal TCL shell can execute this script.

0 Kudos
2 Replies
SyafieqS
Moderator
1,192 Views

Hi Hassan,


Yes, you can actually create a project from scratch using TCL API from Quartus. At a DOS or shell prompt, you can run a script that contains that tcl code as shown here after you generate a tcl project.


quartus_sh -t script.tcl -project top -revision first


For more details regarding the API, in shell, quartus_sh --qhelp and there would be list of API tcl package for your scripting.




0 Kudos
SyafieqS
Moderator
1,178 Views

We do not receive any response from you to the previous answer that I have provided, thus I will put this case to close pending. Please post a response in the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you with your follow-up questions. 


0 Kudos
Reply