Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Internal Error of zero delay when not expected on Quartus Prime 16.0.2

Altera_Forum
Honored Contributor II
1,759 Views

Hi , 

 

 

Quartus Prime version: 16.0.2 

Part Number : 10AX115N3F45I2SG 

 

When doing fitter, got below internal error. Pls help, Thx! 

 

Info (11165): Fitter preparation operations ending: elapsed time is 00:04:30 

Info (170189): Fitter placement preparation operations beginning 

Info (14951): The Fitter is using Advanced Physical Optimization. 

Info (170190): Fitter placement preparation operations ending: elapsed time is 00:08:19 

Info (170191): Fitter placement operations beginning 

Info (170137): Fitter placement was successful 

Info (170192): Fitter placement operations ending: elapsed time is 00:06:22 

Info (11888): Total time spent on timing analysis during Placement is 79.11 seconds. 

Info (170193): Fitter routing operations beginning 

Info (170195): Router estimated average interconnect usage is 4% of the available device resources 

Info (170196): Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X165_Y94 to location X176_Y105 

Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. 

Info (170201): Optimizations that may affect the design's routability were skipped 

Info (11888): Total time spent on timing analysis during Routing is 273.30 seconds. 

Info (16607): Fitter routing operations ending: elapsed time is 00:21:02 

Info (18289): Started post-fitting delay annotation 

Internal Error: Sub-system: PTI, File: /quartus/tsm/pti/pti_tdb_builder.cpp, Line: 1002 

IC edge from src atom NIGHTFURY_IOPLL:O_OUTCLK (Id: 2309, with associated RE_GID: 2160385025) to dst atom NIGHTFURY_LCELL_COMB:DATAE (Id: 88120, with associated RE_GID: 4294967295) has zero delay when not expected 

Stack Trace: 

0x9f134: PTI_TDB_BUILDER::check_ic_edge(CDB_ATOM_ITERM*, TDB_EDGE*) + 0x8b4 (tsm_pti) 

0xa4e25: PTI_TDB_BUILDER::build_or_update_tdb_netlist(std::vector<CDB_ATOM_NODE*, std::allocator<CDB_ATOM_NODE*> > const&, TDB_NETLIST_ATOM_DELETOR*) + 0x4a5 (tsm_pti) 

0xc4159: PTI_TDB_BUILDER_NIGHTFURY::build_or_update_tdb_netlist(std::vector<CDB_ATOM_NODE*, std::allocator<CDB_ATOM_NODE*> > const&, TDB_NETLIST_ATOM_DELETOR*) + 0x19 (tsm_pti) 

0xf42f6: PTI_DELAY_ANNOTATOR::annotate_bcm_netlist(std::vector<CDB_ATOM_NODE*, std::allocator<CDB_ATOM_NODE*> > const&, TDB_NETLIST_ATOM_DELETOR*, bool, bool) + 0x1c6 (tsm_pti) 

0xf4bff: PTI_DELAY_ANNOTATOR::annotate_atoms(bool) + 0x38f (tsm_pti) 

0xf7572: PTI_DELAY_ANNOTATOR::start(PTI_DELAY_ANNOTATOR::INVOKE_MODE, bool, TDB_TIMING_MODEL, bool) + 0x2d2 (tsm_pti) 

0x154fc1: FITCC_TDC_UTILITY::initialize_dat(TAPI_DELAY_ANNOTATOR::INVOKE_MODE, std::vector<TDB_TIMING_MODEL, std::allocator<TDB_TIMING_MODEL> > const&, bool, bool, bool, QGL::FOREST<int, int>*, bool) + 0x401 (fitter_fitcc) 

0x15714d: FITCC_TDC_UTILITY::setup_tdc_utility(TAPI_DELAY_ANNOTATOR::INVOKE_MODE, std::vector<TDB_TIMING_MODEL, std::allocator<TDB_TIMING_MODEL> >, bool, bool, bool, bool, bool, bool, bool, QGL::FOREST<int, int>*, bool, bool) + 0xa6d (fitter_fitcc) 

0x1588d9: FITCC_TDC_UTILITY::FITCC_TDC_UTILITY(FITCC_ENV const*, TAPI_DELAY_ANNOTATOR::INVOKE_MODE, std::vector<TDB_TIMING_MODEL, std::allocator<TDB_TIMING_MODEL> >, bool, bool, bool, bool, bool, bool, bool, QGL::FOREST<int, int>*, bool, bool) + 0x359 (fitter_fitcc) 

0xa869c: FITCC_ENV::get_tdc_utility_or_create_if_necessary(FITCC_ENV::FITCC_TDC_UTILITY_REQUEST_TYPE, TAPI_DELAY_ANNOTATOR::INVOKE_MODE, std::vector<TDB_TIMING_MODEL, std::allocator<TDB_TIMING_MODEL> >, bool, bool, bool, bool, QGL::FOREST<int, int>*, bool) + 0xcac (fitter_fitcc) 

0xa8cba: FITCC_ENV::get_tdc_utility_or_create_if_necessary(FITCC_ENV::FITCC_TDC_UTILITY_REQUEST_TYPE, TAPI_DELAY_ANNOTATOR::INVOKE_MODE, dat_timing_models, bool, bool, bool, bool, QGL::FOREST<int, int>*, bool) + 0x1ca (fitter_fitcc) 

0x9a1df: FDRGN_AUTO_DELAY_CHAIN_OP::work_new() + 0x12f (fitter_fdrgn) 

0x812ae: FDRGN_DELAY_CHAIN_OP::work(FDRGN_DELAY_CHAIN_OP::DELAY_CHAIN_STEP) + 0x32e (fitter_fdrgn) 

0x8137e: fdrgn_auto_delay_chain_work(FITCC_ENV*) + 0x2e (fitter_fdrgn) 

0x699496: vpr_qi_perform_auto_delay_chain_optimization + 0x46 (fitter_vpr20kmain) 

0x804025: aa_flow_finalize + 0x75 (fitter_vpr20kmain) 

0x6820f3: VPR_QI_FACADE::finalize() + 0x13 (fitter_vpr20kmain) 

0x49717: FDRGN_EXPERT::run_vpr(bool, bool, bool) + 0x1c7 (fitter_fdrgn) 

0x4b010: FDRGN_EXPERT::finalize() + 0xa0 (fitter_fdrgn) 

0x234c5: fit2_fit_finalize_auto + 0xb8 (comp_fit2) 

0x51ec7: TclNRRunCallbacks + 0x47 (tcl8.6) 

0x13a5d: fit2_fit_finalize + 0x1f7 (comp_fit2) 

0x51ec7: TclNRRunCallbacks + 0x47 (tcl8.6) 

0x536e7: TclEvalEx + 0x947 (tcl8.6) 

0xfb366: Tcl_FSEvalFileEx + 0x266 (tcl8.6) 

0xfb47e: Tcl_EvalFile + 0x2e (tcl8.6) 

0x11def: qexe_evaluate_tcl_script(std::string const&) + 0x382 (comp_qexe) 

0x18cb0: qexe_do_tcl(QEXE_FRAMEWORK*, std::string const&, std::string const&, std::list<std::string, std::allocator<std::string> > const&, bool, bool) + 0x594 (comp_qexe) 

0x19c5c: qexe_run_tcl_option(QEXE_FRAMEWORK*, char const*, std::list<std::string, std::allocator<std::string> >*, bool) + 0x57e (comp_qexe) 

0x3ccc5: qcu_run_tcl_option(QCU_FRAMEWORK*, char const*, std::list<std::string, std::allocator<std::string> >*, bool) + 0xeed (comp_qcu) 

0x1c496: qexe_standard_main(QEXE_FRAMEWORK*, QEXE_OPTION_DEFINITION const**, int, char const**) + 0x6b3 (comp_qexe) 

0x3b65: qfit2_main(int, char const**) + 0xc5 (quartus_fit) 

0x40360: msg_main_thread(void*) + 0x10 (ccl_msg) 

0x602c: thr_final_wrapper + 0xc (ccl_thr) 

0x4041c: msg_thread_wrapper(void* (*)(void*), void*) + 0x62 (ccl_msg) 

0xb359: mem_thread_wrapper(void* (*)(void*), void*) + 0x99 (ccl_mem) 

0x8f98: err_thread_wrapper(void* (*)(void*), void*) + 0x27 (ccl_err) 

0x63f2: thr_thread_wrapper + 0x15 (ccl_thr) 

0x42622: msg_exe_main(int, char const**, int (*)(int, char const**)) + 0xa3 (ccl_msg) 

0x1d994: __libc_start_main + 0xf4 (c.so.6) 

 

 

 

 

End-trace 

 

 

BR 

Tony
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
563 Views

This is a crash. If it also crashes with Quartus 17 then you will need to raise a ticket with Intel via the mysupport portal.

0 Kudos
Altera_Forum
Honored Contributor II
563 Views

Have you tried changing the seed number or even the routing effort?

0 Kudos
Altera_Forum
Honored Contributor II
563 Views

 

--- Quote Start ---  

Have you tried changing the seed number or even the routing effort? 

--- Quote End ---  

 

 

Hi , 

 

How to change seed number? Thx! 

 

Tony
0 Kudos
Altera_Forum
Honored Contributor II
563 Views

Go to Settings --> Compiler Settings --> Advanced Settings (Fitter) --> Fitter Initial Placement Seed 

Just change to another number
0 Kudos
Reply