Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16592 Discussions

Is Intel really cutting VHDL-2008 support from Quartus Prime Lite?

MTuck8
Novice
2,081 Views

According to the document Intel® Quartus® Prime Design Software - Compare Pro, Standard, and Lite editions [1], the Intel Quartus Prime Lite version no longer supports VHDL-2008 (as of v19.3, I suppose?).

 

Surely, language support can not be something that should warrant a more expensive software license? If anything, language compatibility should drive device adoption and sales.

 

Personally I'm facing a dilemma, since all my open source FPGA projects are written in VHDL-2008. I now have to either convert everything to some other language, or I'll have to stop supporting Intel devices (which is a shame, because I really liked the Quartus suite).

 

Update: I just discovered that you have also removed the support for multiprocessor builds in the Lite version (!). This would make Quartus Lite useless for my designs. Please, please reconsider these decisions!

 

[1] https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/po/ss-quartus-comparison.pdf

0 Kudos
6 Replies
SyafieqS
Moderator
1,838 Views

Hi Mark,

 

Yes, correct. Referred to the link Quartus Prime Lite (free) no longer support VHDL-2008. You can subscribe to Pro Edition and Standard Edition for VHDL-2008 to ONLY get full support for VHDL-2008 and microprocessor.

 

Best Regards,

Syafieq

0 Kudos
MTuck8
Novice
1,838 Views

Just to be clear: Are you saying that in order to be able to compile my open source VHDL-2008 project for a small $85 Intel MAX10 dev board, I need to pay $2,995 / year? For a hobby developer like me, that simply makes no sense!

0 Kudos
SyafieqS
Moderator
1,838 Views

Hi Mark,

 

We are sorry to inform that, yes, unfortunately, since the feature only available in std/pro edition.

 

Best Regards,

Syafieq

 

0 Kudos
Carlhermann
New Contributor I
1,838 Views

Hi, so welcome back in the past... When we started to develop FPGA 20years ago, the design tools were not free of charge as well (the early Max+PlusII times)... Meanwhile ALTERA decided to earn money by selling chips, not pieces of software. Maybe this change in philosophy by intel (to remove features from the lite edition) is the first step to eliminate the FPGAs from their line of devices despite eventually the high-priced ones used to accelerate intel's processors.

For me, it's quite useless to have Cyclone10 and MAX10 devices, targeting price sensitive mass-market applications if users are required to spend 3k$ for the toolset to use these chips. (Don't know whether free tools will be dropped by XILINX and all the others as well..)

I'm really sorry to see intel starting to drop long time ALTERA history and philosophy - something collegues argued already when intel aquired ALTERA :-|

But - just my two cents... (from a long time ALTERA user point of view).

MTuck8
Novice
1,838 Views

Yes, I really can see no other reason for this move than to eventually discontinue the Lite product altogether, and then (as you say), entry-level devices can hardly be considered an important market. It's probably time for open source hardware projects and hobby & education to pull out now and move to other vendors than Intel. Too bad.

0 Kudos
SyafieqS
Moderator
1,838 Views

Hi Mark,

 

For Lite 15/16 version still support the VHDL-2008, for 17 series you can try on your side if it works, It will still be there available for download for now.

 

Thanks,

Regards

 

0 Kudos
Reply