Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Is feasible to load both Altera and Xilinx libraries to same Modelsim?

Altera_Forum
Honored Contributor II
1,221 Views

I need use Quartus II and ISE for Altera and Xilinx FPGA respectively. I have never load libraries from Xilinx and Altera, both of them, into Modelsim. I just wonder whether this is feasible? Will it cause some problems?  

 

Thanks very much.
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
504 Views

Yes you can. It shouldn't be a problem

0 Kudos
Altera_Forum
Honored Contributor II
504 Views

 

--- Quote Start ---  

Yes you can. It shouldn't be a problem 

--- Quote End ---  

 

 

Thanks,Tricky. But I realized a problem. For loading Xilinx libraries, I use Simulation Library Compilation Wizard. After compilation, it generates a "modelsim.ini", it stores the directory for compiled libraries. For Altera libraries, I can use tcl script, or it seems latest Quartus also provide tool to compile library. Similarly, a "modelsim.ini" will be generated.  

 

My approach is copying new "modelsim.ini" to Modelsim directory to replace older one, then the compiled libraries will appear in Modelsim when I run Modelsim. However, now there are two new "modelsim.ini" for Altera and Xilinx, how can I do in Modelsim to map all these libraries? 

 

Thanks very much.
0 Kudos
Altera_Forum
Honored Contributor II
504 Views

At the top of modelsim.ini are the mappings to Modelsim libraries. You can ignore the generated modelsim.ini files, and create your own Tcl script that sets up these mappings. 

 

The Modelsim command is 

 

vmap <libname> <libpath> 

 

If you wanted to automate the process, have your Tcl script parse the generated modelsim.ini files, and issue vmap for each of the generated libraries. The calls to vmap will edit your master modelsim.ini file. 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
504 Views

 

--- Quote Start ---  

At the top of modelsim.ini are the mappings to Modelsim libraries. You can ignore the generated modelsim.ini files, and create your own Tcl script that sets up these mappings. 

 

The Modelsim command is 

 

vmap <libname> <libpath> 

 

If you wanted to automate the process, have your Tcl script parse the generated modelsim.ini files, and issue vmap for each of the generated libraries. The calls to vmap will edit your master modelsim.ini file. 

 

Cheers, 

Dave 

--- Quote End ---  

 

 

Thanks, Dave.  

 

I have another question. In each simulation work directory, it needs a "modelsim.ini" to tell Modelsim where the compiled libraries are. Why we still need a "modelsim.ini" in Modelsim installation directory? Or say in another way, what is the usage of "modelsim.ini" in Modelsim installation directory? 

 

Thanks very much.
0 Kudos
Altera_Forum
Honored Contributor II
504 Views

There should be a 'master' copy of modelsim.ini that is read-only in the install area. 

 

When you call vmap the first time, Modelsim will detect that the master copy is read-only and create a local version for your project. 

 

What this means however is that you end up with a modelsim.ini per simulation. I personally don't like this, so have setup environment variables to force Modelsim to use a single copy of modelsim.ini. The variables are discussed in an Appendix in the Modelsim manual. 

 

For your tests, just deal with the fact that you need to have a modelsim.ini in the area that you are working. Make sure that the versions generated by Altera and Xilinx are somewhere else. Use vmap to populate your local modelsim.ini (or manually copy and paste the mappings). 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
504 Views

Thanks very much, Dave.

0 Kudos
Reply