Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Is it a mistake"Tco_ext = Tsu of external device"

Altera_Forum
Honored Contributor II
1,081 Views

hello,  

I learned a lot from the "TimeQuest User Guide" edited by Rysc. 

But I was confused that the Tco_ext has different expression on page 20 and page 21 as follows: 

P20 

External device parameters: 

Tco_ext = Tsu of external device 

minTco_ext = Th of external device 

 

P21 

External device parameters: 

Tco_ext = Tco of external device 

minTco_ext = min Tco of external device 

 

In my opinion, Tco is the delay time from clk to output port Q, we should use this parameter for set_input_delay, but in some chip datasheet,I could not find Tco,such as the datasheet of TFP401, in this way, how can I write the constraint of set_input_delay? 

 

thank you! 

 

Andrew
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
316 Views

 

--- Quote Start ---  

hello,  

I learned a lot from the "TimeQuest User Guide" edited by Rysc. 

But I was confused that the Tco_ext has different expression on page 20 and page 21 as follows: 

P20 

External device parameters: 

Tco_ext = Tsu of external device 

minTco_ext = Th of external device 

 

P21 

External device parameters: 

Tco_ext = Tco of external device 

minTco_ext = min Tco of external device 

 

In my opinion, Tco is the delay time from clk to output port Q, we should use this parameter for set_input_delay, but in some chip datasheet,I could not find Tco,such as the datasheet of TFP401, in this way, how can I write the constraint of set_input_delay? 

 

thank you! 

 

Andrew 

--- Quote End ---  

 

 

You are right but TCO_ext is not = TCO of external device rather it is just another name for it.  

The issue you raised is because some chips do not give you TCO but rather tSU/tH required at receiving device and then this translates to: 

TCO(max) = UI - tSU 

TCO(min) = tH
0 Kudos
Altera_Forum
Honored Contributor II
316 Views

 

--- Quote Start ---  

You are right but TCO_ext is not = TCO of external device rather it is just another name for it.  

The issue you raised is because some chips do not give you TCO but rather tSU/tH required at receiving device and then this translates to: 

TCO(max) = UI - tSU 

TCO(min) = tH 

--- Quote End ---  

 

 

Thank you,kaz. 

TCO(max) = UI - tSU,UI is the Tperiold? how to understand your translation" TCO(max) = UI - tSU; TCO(min) = tH". Is there any reference paper to introduce this relationship?
0 Kudos
Altera_Forum
Honored Contributor II
316 Views

It's just basic math..

0 Kudos
Altera_Forum
Honored Contributor II
316 Views

 

--- Quote Start ---  

It's just basic math.. 

--- Quote End ---  

 

 

indeed. if you look at TQ description of various forms of set_input_delay you will find out that equivalence. 

 

If you draw waveform then it should be obvious: 

 

if tCO max of external device is (n) ns then the target register must anticipate that (n) ns of period will be the max offset between arriving data and its clock relative to latching edge, so target register must have tSU of [period -n] or better(less). 

if tCO min of external device is (m) ns then minimum offset is (m) ns relative to previous edge and the target register should have tH of (m) ns or better.
0 Kudos
Reply