Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Merge partitions in Quartus Pro - Equivalent of "quartus_cdb --merge"

Altera_Forum
Honored Contributor II
1,665 Views

In Quartus pro 17.1, how can I merge all partitions of a design so that only a single QDB file is needed to reuse this design elsewhere?  

 

In Quartus Standard, this could be accomplished via "quartus_cdb --merge", which would take a design that includes multiple QXP netlist files and merge it so it could be exported (via "quartus_cdb --incremental_compilation_export") as a single QXP.  

 

The goal is that later on a user of the design could import a single QDB file without the requirement of importing QDB files for sub-partitions. 

 

Edit: specified version = Quartus Pro 17.1
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
844 Views

Hi, 

Refer the “2.11.4.1 Import and Export Version-Compatible Designs from the Design Flow” & “2.11.4.2 Quartus_cdb Executables to Manage Version-Compatible Databases” 

From the following link, 

 

https://www.altera.com/content/dam/altera-www/global/en_us/pdfs/literature/hb/qts/qts-qpp-handbook.pdf 

 

Let me know if this has helped resolve the issue you are facing or if you need any further assistance. 

 

Best Regards 

Vikas Jathar  

Intel Customer Support – Engineering 

(Under Contract to Intel)
0 Kudos
Altera_Forum
Honored Contributor II
844 Views

 

--- Quote Start ---  

Hi, 

Refer the “2.11.4.1 Import and Export Version-Compatible Designs from the Design Flow” & “2.11.4.2 Quartus_cdb Executables to Manage Version-Compatible Databases” 

From the following link, 

 

https://www.altera.com/content/dam/altera-www/global/en_us/pdfs/literature/hb/qts/qts-qpp-handbook.pdf 

 

Let me know if this has helped resolve the issue you are facing or if you need any further assistance. 

 

Best Regards 

Vikas Jathar  

Intel Customer Support – Engineering 

(Under Contract to Intel) 

--- Quote End ---  

 

Thank you Vikas. I have attempted to do this, but I do not believe the export_design command works when you plan to reuse the design as a sub-partition of another. I hope to merge my entire design into a single QDB file, and then use that QDB file as a sub-module/sub-partition of another design. This is straightforward in Quartus Standard with merged QXP files. When I attempt to reuse the QDB file as a partition after using export_design (as suggested in the link provided), I get the following error: 

 

Error (18633): The archive "<my_sub_design>.qdb" contains a full design and cannot be imported via the import_block comamnd nor as a source file in the QSF. 

 

I am including the QDB file in the design via: 

set_instance_assignment -name PARTITION <name> -to <instance> -entity <top> 

set_instance_assignment -name QDB_FILE_PARTITION <file> -to <instance> -entity <top> 

 

 

 

The way I was originally exporting the design was via "quartus_cdb --export_partition root_partition" (see https://www.alteraforum.com/forum/showthread.php?t=57908 for more info). The issue with exporting the root partition is that I then need to import that QDB file and QDB files for all sub-partitions in the consumer project.
0 Kudos
Reply