Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

ModelSim AE 10.1d Error

Altera_Forum
Honored Contributor II
1,773 Views

I've recently been given a trial ( eval) license for ModelSim AE.  

 

I am able to open and run, compile and load libraries but when it goes to execute the vsim command I get the following: 

 

# ** Error: Failure to obtain a Verilog simulation license. Unable to checkout any of these license features: alteramtivsim or alteramtivlog. 

# Error loading design 

 

I"ve modified the MGLS and LM environment variables with the path to the .dat file. 

 

Any help would be appreciated. 

Rob
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
367 Views

Are you mixing verilog and VHDL in the project? IIRC this isn't supported in some versions of Modelsim, even with a license.

0 Kudos
Altera_Forum
Honored Contributor II
367 Views

Thank you for the response. Actually, it had to do with trying to run it via Remote Desktop through a VPN. Apparently this isn't possible with ModelSim AE but oddly it is for ModelSim ASE. In the past, MS-ASE is all I've used. I've recently started using the licensed version and wasn't aware of this limitation. 

 

Take care, 

Rob
0 Kudos
Altera_Forum
Honored Contributor II
367 Views

That's very strange. Are you sure it wasn't because the license was installed on the client instead of the server?

0 Kudos
Reply