Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

ModelSim*-Intel® FPGA Edition Software - Simulation Error

osmanyagci
New Contributor I
1,414 Views

Hi,

 

When I try to simulate my design in modelsim, it warns me that a module named as fourteen_iossm_mchip_encrypted does not exits. I tried to find that module under the Quartus 20.4 installition directory (in all of the files by using findstr utility in command window), but that module does not exist at all. Can anybody help me about this problem?

 

The error is;

 

**Error: (vsim-3033) Instantiation of 'fourteen_iossm_mchip_encrypted' failed. The design unit was not found.

 

Note: fourteen_iossm_mchip_encrypted is instantiated in the fourteennm_atoms.sv file.

 

Thanks in advance,

Appreciate your response

0 Kudos
10 Replies
sstrell
Honored Contributor III
1,396 Views

What IP do you have in your design?  Sounds like you're missing a license or something.

0 Kudos
osmanyagci
New Contributor I
1,335 Views

Hi, 

 

I am trying to simulate some part of the project s10_ref BSP for some openCL application. The project is in platform designer format so to simulate the project I have generated the vhdl codes of the ip files in the platform designer. The project contains two ddr4 memory. When I try to simulate the project modelsim says fourteennm_iossm module (which is instantiated in a file generated automatically by platform designer for ddr4 memory) not found. So I searched the quartus installition directory and find that module in the library folder containing altera starter edition library files (the location of the file is "Local Disk (C:) / intelFPGA_pro / 20.4 / modelsim_ase / altera / verilog / src / fourteennm_atoms.sv" ).This file is fourteennm_atoms.sv. However, when I do that modelsim now says fourteen_iossm_mchip_encrypted module cannot be found which is instatiated in fourteennm_iossm module contained in fourteennm_atoms.vs.

 

Note: When I add project files to modelsim and compile them, all of them are compiled successfully. However, when I click on the Start Simulation it says instantiation of fourteennm_iossm failed.

 

I haven't add anything special to the project. I have used the same structure as you did in s10_ref BSP project, but I have taken some part of the project which I do not need to simulate.

 

I have tried to tell all of the story in detail.

 

Thanks for your reply.

0 Kudos
sstrell
Honored Contributor III
1,323 Views

Is your Quartus Pro installation properly licensed?  "encrypted" is a red flag for license issues.

0 Kudos
osmanyagci
New Contributor I
1,311 Views

Hi,

 

I have a licence, and I am using modelsim altera starter edition.

0 Kudos
ShengN_Intel
Employee
1,243 Views

Hi


Could you provide the design file for further debugging?


Thanks,

Sheng


0 Kudos
osmanyagci
New Contributor I
1,235 Views

Hi,

 

The original project file is at the link given below under Windows BSP. I have modified some parts of it so that I can make tests on that. The project is generated using platform designer, so to make a test on modelsim I have generated vhdl files for the ips using platform designer. 

 

https://www.intel.com/content/www/us/en/software-kit/660540/intel-fpga-sdk-for-opencl-pro-edition-software-version-20-2.html?

0 Kudos
osmanyagci
New Contributor I
1,214 Views

I have a Intel Quartus Pro licence. However, I am using modelsim altera starter edition. Is it possible that this causes the issue? Do I have to use modelsim altera edition instead of altera starter edition? When I clicked on the modelsim altera edition it says the following error?

 

 

0 Kudos
ShengN_Intel
Employee
1,175 Views

Most likely that is the root cause. Modelsim altera edition requires license for it. May be you can try on Modelsim Simulation Setup Script (msim_setup.tcl). May refer to manual here or video here.


0 Kudos
ShengN_Intel
Employee
1,075 Views

Hi,


Do you have any further consideration or should I consider the case closed?


0 Kudos
osmanyagci
New Contributor I
1,063 Views

When I try simulate using msim_setup.tcl, it gives some other errors. However, the previous "module not found" errors are removed. So, you can close it.

0 Kudos
Reply