Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

ModelSim Starter Edition -> how to launch from Linux command line?

Altera_Forum
Honored Contributor II
15,478 Views

Hi All, 

 

How to launch the ModelSim Starter Edition from the command line (prompt) of Linux? How to know a path to ModelSim Starter Edition? 

 

What settings should be done in the Quartus-II Settings, so the ModelSim Starter Edition could be launch from the Quartus-II Menu (Tools -> Run Simulation ...)?  

 

Thank you!
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
8,598 Views

In Quartus: 'Tools' -> 'Options' and select the 'EDA Tool Options' category. Specify the tool paths there. 

 

As for the path to the executable, search for 'modelsim.exe' to locate it. Probably under /opt/altera/modelsim... 

 

Cheers, 

Alex
0 Kudos
Altera_Forum
Honored Contributor II
8,598 Views

Are you sure that the file has an "*.exe" extension under Linux? 

 

I searched the installation directory for the *modelsim* keyword... Here is a list of the files: 

 

-------------------------------------------------------------------------------------------------------- 

./16.1/ip/altera/posphy_l4/lib/pl4/hw/src/test/util/run_modelsim_vhdl.erp 

./16.1/ip/altera/posphy_l4/lib/pl4/hw/src/test/util/run_modelsim.tcl.erp 

./16.1/ip/altera/posphy_l4/lib/pl4/hw/src/test/util/run_modelsim_verilog.erp 

./16.1/ip/altera/seriallite_ii/lib/slite2/hw/src/test/tb.demo/run_modelsim.tcl.erp 

./16.1/ip/altera/seriallite_ii/lib/slite2/hw/src/test/tb.demo_vhdl/run_modelsim_vhdl.tcl.erp 

./16.1/ip/altera/sdi/simulation/modelsim 

./16.1/ip/altera/sdi/simulation/modelsim/trsdi_c4gx/pll_reconfig/modelsim 

./16.1/ip/altera/sdi/simulation/modelsim/trsdi_c4gx/channel_reconfig/modelsim 

./16.1/ip/altera/sdi/simulation/modelsim/trsdi_av/modelsim 

./16.1/ip/altera/sdi/simulation/modelsim/trsdi_sv/modelsim 

./16.1/ip/altera/altera_xcvr_att_custom/sv/modelsim_example_script.tcl 

./16.1/ip/altera/rapidio/lib/rio/hw/src/test/tb.demo/run_modelsim.tcl.erp 

./16.1/ip/altera/rapidio/lib/rio/hw/src/test/tb.demo/run_modelsim_verilog.erp 

./16.1/ip/altera/rapidio/lib/rio/hw/src/test/tb.ser_demo/run_modelsim_vhdl.erp 

./16.1/ip/altera/rapidio/lib/rio/hw/src/test/tb.ser_demo/run_modelsim_verilog.erp 

./16.1/ip/altera/rapidio/lib/rio/hw/src/test/tb.par_demo/run_modelsim_vhdl.erp 

./16.1/ip/altera/rapidio/lib/rio/hw/src/test/tb.par_demo/run_modelsim_verilog.erp 

./16.1/ip/altera/pci_compiler/megawizard_flow/testbench/verilog/pci_mt64/example/run_modelsim_altera.tcl 

./16.1/ip/altera/pci_compiler/megawizard_flow/testbench/verilog/pci_mt64/example/run_modelsim.tcl 

./16.1/ip/altera/pci_compiler/megawizard_flow/testbench/verilog/pci_mt32/example/run_modelsim_altera.tcl 

./16.1/ip/altera/pci_compiler/megawizard_flow/testbench/verilog/pci_mt32/example/run_modelsim.tcl 

./16.1/ip/altera/pci_compiler/megawizard_flow/testbench/verilog/pci_t64/example/run_modelsim_altera.tcl 

./16.1/ip/altera/pci_compiler/megawizard_flow/testbench/verilog/pci_t64/example/run_modelsim.tcl 

./16.1/ip/altera/pci_compiler/megawizard_flow/testbench/verilog/pci_t32/example/run_modelsim_altera.tcl 

./16.1/ip/altera/pci_compiler/megawizard_flow/testbench/verilog/pci_t32/example/run_modelsim.tcl 

./16.1/ip/altera/pci_compiler/megawizard_flow/testbench/vhdl/pci_mt64/example/run_modelsim_altera.tcl 

./16.1/ip/altera/pci_compiler/megawizard_flow/testbench/vhdl/pci_mt64/example/run_modelsim.tcl 

./16.1/ip/altera/pci_compiler/megawizard_flow/testbench/vhdl/pci_mt32/example/run_modelsim_altera.tcl 

./16.1/ip/altera/pci_compiler/megawizard_flow/testbench/vhdl/pci_mt32/example/run_modelsim.tcl 

./16.1/ip/altera/pci_compiler/megawizard_flow/testbench/vhdl/pci_t64/example/run_modelsim_altera.tcl 

./16.1/ip/altera/pci_compiler/megawizard_flow/testbench/vhdl/pci_t64/example/run_modelsim.tcl 

./16.1/ip/altera/pci_compiler/megawizard_flow/testbench/vhdl/pci_t32/example/run_modelsim_altera.tcl 

./16.1/ip/altera/pci_compiler/megawizard_flow/testbench/vhdl/pci_t32/example/run_modelsim.tcl 

./16.1/quartus/sopc_builder/bin/run_modelsim.pl 

./16.1/quartus/sopc_builder/bin/europa/e_modelsim.pm 

./16.1/quartus/common/help/webhelp/eda/simulation/modelsim 

./16.1/quartus/common/tcl/internal/nativelink/modelsim.tcl 

./16.1/quartus/linux64/modelsim_q.tcl 

./16.1/logs/modelsim_ase-16.1.0.196-linux-install.log 

./16.1/modelsim_ase 

./16.1/modelsim_ase/modelsim.ini 

./16.1/modelsim_ase/docs/pdfdocs/modelsim_user.pdf 

./16.1/modelsim_ase/docs/pdfdocs/modelsim_gui_ref.pdf 

./16.1/modelsim_ase/docs/pdfdocs/modelsim_tut.pdf 

./16.1/modelsim_ase/docs/pdfdocs/modelsim_ref.pdf 

./16.1/modelsim_ase/docs/pdfdocs/_bk_modelsim.pdf 

./16.1/modelsim_ase/vhdl_src/modelsim_lib 

./16.1/modelsim_ase/modelsim_lib 

./16.1/modelsim_ase/examples/gui/addmenu/modelsim.tcl 

./16.1/modelsim_ase/linuxaloem/echkpnt.modelsim 

./16.1/modelsim_ase/linuxaloem/erestart.modelsim 

./16.1/modelsim_ase/tcl/bitmaps/modelsim3_de_lg.gif 

./16.1/modelsim_ase/tcl/bitmaps/modelsim3dark.gif 

./16.1/modelsim_ase/tcl/bitmaps/modelsim3.gif 

./16.1/modelsim_ase/tcl/bitmaps/modelsim3_de_dark.gif 

./16.1/uninstall/modelsim_ase.cnf 

./16.1/uninstall/modelsim_ase-16.1.0.196-linux-uninstall.run 

./16.1/uninstall/modelsim_ase-16.1.0.196-linux-uninstall.dat 

-------------------------------------------------------------------------------------------------------- 

 

So, which file to run? Which one should I define in the 'Tools' -> 'Options' -> 'EDA Tool Options' category? How should look like the whole command? 

 

Thank you!
0 Kudos
Altera_Forum
Honored Contributor II
8,598 Views

Under linux the modelsim executable is 'vsim', located in the linuxaloem folder.

0 Kudos
Altera_Forum
Honored Contributor II
8,598 Views

In Quartus → Tools → Options → EDA Tool Options change ModelSim-Altera to ..../altera/16.0/modelsim_ase/bin 

Quick guide if you cannot lauch Model-Sim on Ubuntu (Linux) 

http://mattaw.blogspot.in/2014/05/making-modelsim-altera-starter-edition.html 

and 

https://wiki.archlinux.org/index.php/altera_design_software#modelsim-altera_edition
0 Kudos
APF2000
Beginner
8,248 Views

Hi, I searched for the vsim file, and found out that its locations is at /home/.../intelFPGA_lite/20.1/modelsim_ase/linuxaloem/vsim

Just to help anyone that is lost trying to execute it...

0 Kudos
Reply